上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

长电科技-复苏系列之封测产业研究:先进封装助力新成长-221226(19页).pdf

编号:110972 PDF   DOCX 19页 1.45MB 下载积分:VIP专享
下载报告请您先登录!

长电科技-复苏系列之封测产业研究:先进封装助力新成长-221226(19页).pdf

1、证券研究报告|深度报告|半导体http:/1/19请务必阅读正文之后的免责条款部分长电科技(600584)报告日期:2022 年 12 月 26 日长电科技:先进封装助力新成长长电科技:先进封装助力新成长复苏系列之封测产业研究复苏系列之封测产业研究投资要点投资要点全球封测行业龙头,聚焦关键汽车、全球封测行业龙头,聚焦关键汽车、HPC、5G 等应用领域,大力推进先进封装等应用领域,大力推进先进封装技术布局,随疫情放开后经济复苏、行业景气度修复,有望率先受益。技术布局,随疫情放开后经济复苏、行业景气度修复,有望率先受益。封测技术行业龙头,多元化产品组合推动业绩稳步增长封测技术行业龙头,多元化产品组

2、合推动业绩稳步增长长电是全球第三、中国大陆第一 OSAT 厂商,业务覆盖高、中、低端半导体封测类型,多元化布局通讯、消费、运算、工业及医疗、汽车电子等应用市场,在中国、韩国和新加坡设有六大生产基地和两大研发中心,致力于向全球优质客户群提供全方位的芯片成品制造一站式服务。受益于持续优化的产品组结构、先进封装技术突破等,营收增长稳定,盈利能力持续提升。技术与需求升级双驱动,有望带动封测市场稳步增长技术与需求升级双驱动,有望带动封测市场稳步增长后摩尔时代,先进封装是未来行业重要的盈利增长点。据 Yole 数据,2026 年先进封装全球市场规模 475 亿美元,2020-2026E CAGR 约为 7

3、.7%。全球封测产业正逐步向中国大陆转移,内资企业与外资厂商技术差距逐渐缩小,产品已由DIP、SOP、SOT、QFP 等产品向 QFN/DFN、BGA、CSP、FC、TSV、LGA、WLP 等技术更先进的产品发展,并且在 WLCSP、FC、BGA 和 TSV 等技术上取得较为明显的突破。据 Frost&Sullivan 数据,中国大陆封测市场 2025 年市场规模达到 3,551.9 亿元,2021-2025E CAGR 约为 7.5%,占全球封测市场 75.6%。未来,随 5G、HPC、智能汽车等新兴应用领域对封装工艺及产品性能提出更高的要求,有望带动封测市场规模向上突破。技术领先夯实龙头地

4、位,聚焦关键领域注入增长新势能技术领先夯实龙头地位,聚焦关键领域注入增长新势能公司专注创新求发展,加速落地先进工艺研发,聚焦关键应用领域,优化产品结构。在 5G 通信类、HPC、消费类、汽车和工业等重要领域拥有行业领先的先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及欧美,并实现规模量产。未来随着先进封装需求上行及行业景气度修复,叠加国内安全自主可控需求趋强,公司凭借自身技术、产品、客户、规模化等优势,有望充分受益。盈利预测与估值盈利预测与估值预计公司 2022-2024 年营业收入分别为 335.1/369.1/426.0 亿元,同比增速为9

5、.9%/10.1%/15.4%;归 母 净 利 润 为 33.0/37.2/44.6 亿 元,同 比 增 速 为11.6%/12.8%/19.8%,当前股价对应 PE 为 12.6/11.2/9.4 倍,EPS 为 1.85/2.09/2.51元。我们选取 A 股上市封测公司通富微电、华天科技作为可比公司,参考可比公司估值均值,同时考虑公司作为国内第一封测大厂优势突出,受益于技术及下游需求升级驱动,有望率先受益。因此,给予公司 2023 年 15 倍 PE(对应 2022年 17倍 PE),给予“增持”评级。风险提示风险提示新技术及产品研发不达预期、行业波动、市场竞争加剧、贸易摩擦等风险。投资

6、评级投资评级:增持增持(首次首次)分析师:蒋高振分析师:蒋高振执业证书号:S研究助理:褚旭研究助理:褚旭基本数据基本数据收盘价¥23.43总市值(百万元)41,694.93总股本(百万股)1,779.55股票走势图股票走势图相关报告相关报告财务摘要财务摘要Table_Forcast(百万元)2021A2022E2023E2024E营业收入305023351(+/-)(%)15.26%9.86%10.14%15.42%归母净利润2959330137224459(+/-)(%)126.83%11.57%12.76%19.79%每股收益(元)1.661.852.092.51P/

7、E14.0912.6311.209.35资料来源:浙商证券研究所长电科技(600584)深度报告http:/2/19请务必阅读正文之后的免责条款部分正文目录正文目录1 长电科技:全球领先的集成电路制造和技术服务提供商.41.1 全球封测龙头企业,产品领域多元化发展全球封测龙头企业,产品领域多元化发展.41.2 业绩稳健增长,盈利能力改善业绩稳健增长,盈利能力改善.41.3 团队领导力卓越,激励促长效发展团队领导力卓越,激励促长效发展.52 技术与需求升级双驱动,行业景气度有望迎来修复.72.1 后摩尔时代,先进封装成为全球封测主要增量后摩尔时代,先进封装成为全球封测主要增量.72.2 封测工艺

8、持续突破,带动国内规模向上突破封测工艺持续突破,带动国内规模向上突破.92.3 下游新兴应用发展,注入持续增长动力下游新兴应用发展,注入持续增长动力.113 布局先进封装技术,加快产品结构优化.123.1 技术领先行业,客户高度认可技术领先行业,客户高度认可.123.2 聚焦关键应用领域,提供高端定制化服务聚焦关键应用领域,提供高端定制化服务.144 盈利预测与估值.154.1 细分业务盈利预测细分业务盈利预测.154.2 盈利预测与估值盈利预测与估值.165 风险提示.16VUlWmOtRVVmVpXWVsU8OcMaQmOqQnPsQlOnMsQkPoMxP9PpPwPuOrNsQwMoO

9、pP长电科技(600584)深度报告http:/3/19请务必阅读正文之后的免责条款部分图表目录图表目录图 1:长电科技全球布局.4图 2:2022Q1-Q3 营收同比增长 13.05%.5图 3:2022Q1-Q3 归母净利润同比增长 15.92%.5图 4:2022Q1-Q3销售毛利率、净利率分别为 17.98%、9.90%.5图 5:2018-2022Q3 公司期间费用率.5图 6:长电科技股权结构(截至 2022年 9月).6图 7:集成电路产业链.7图 8:集成电路封装 4大功能.7图 9:2020-2026年全球封装规模及结构.9图 10:2019年-2025E全球先进封装市场结构

10、.9图 11:2009-2025年全球半导体市场规模.9图 12:2002-2021年我国集成电路产业销售额.9图 13:2011-2021年全球及中国封测市场规模.10图 14:2016-2025E中国先进及传统封测市场规模(销售口径).10图 15:2021年前十大 OSAT厂商所在区域市占率.10图 16:2021年全球委外封测市场占有率.10图 17:2021 年国内集成电路市场应用结构.11图 18:国内 5G基站建设情况.12图 19:2017年-2022E我国汽车电子市场规模.12表 1:长电科技现任管理层.6表 2:2022年长电科技股权激励计划业绩考核目标.7表 3:封装技术

11、发展历程.8表 4:不同应用领域封装技术介绍.11表 5:长电科技核心封装技术.13表 6:长电科技产品聚焦领域.14表 7:公司细分业务盈利预测表.16表 8:可比公司估值(截至 2022年 12月 26日收盘).16表附录:三大报表预测值.18长电科技(600584)深度报告http:/4/19请务必阅读正文之后的免责条款部分1 长电科技:长电科技:全球领先的集成电路制造和技术服务提供商全球领先的集成电路制造和技术服务提供商1.1 全球封测龙头企业,产品领域多元化发展全球封测龙头企业,产品领域多元化发展长电科技是全球第三、长电科技是全球第三、中国大陆第一中国大陆第一 OSAT 厂商厂商,2

12、021 年全球年全球市占率市占率 10.82%。公司提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。全球拥有超 2 万名员工,在中国、韩国和新加坡设有六大生产基地和两大研发中心,在欧美及亚太 20多个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。图 1:长电科技全球布局资料来源:长电科技公司官网,浙商证券研究所产品、服务和技术覆盖高、中、低各种半导体封测类型,涉及多种半导体产品终端市产品、服务和技术覆盖高、中、低各种半导体封

13、测类型,涉及多种半导体产品终端市场应用领域。场应用领域。公司在韩国、新加坡、中国江阴、滁州、宿迁均设有分工明确、各具技术特色和竞争优势的全球运营中心。通过高集成度的晶圆级 WLP、2.5D/3D、系统级(SiP)封装技术和高性能的 Flip Chip 和引线互联封装技术,业务已涵盖网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。按市场应用领域划分,2022H1 营收结构中通讯、消费、运算、工业及医疗、汽车电子分别占比为 36.7%、31.3%、18.4%、10.1%、3.6%。拥有稳定的全球多元化优质客户群。拥有稳定的全球多元化优质客户群。公司客户遍布世

14、界主要地区,涵盖集成电路制造商、无晶圆厂公司及晶圆代工厂,并且许多客户都是各自领域的市场领导者。在战略性半导体市场所在国家,围绕主要的晶圆制造枢纽建立成熟业务,为客户提供全集成、多工位(multi-site)、端到端封测服务。1.2 业绩稳健增长,盈利能力改善业绩稳健增长,盈利能力改善营收增长稳定,盈利能力持续提升。营收增长稳定,盈利能力持续提升。2022Q1-Q3,公司营业收入为 247.78亿元,同比增长 13.05%;归母净利润为 24.52亿元,同比增长 15.92%。主要受益于公司持续优化产品组合,聚焦高附加值应用,积极布局包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人

15、工智能与物联网、工业智造等领域,持续提升市场竞争力。同时,采取降本增效措施,部分克服了材料成本、动力成本、运输成本等上涨带来的压力,保持盈利能力的持续提升等。长电科技(600584)深度报告http:/5/19请务必阅读正文之后的免责条款部分图 2:2022Q1-Q3营收同比增长 13.05%图 3:2022Q1-Q3 归母净利润同比增长 15.92%资料来源:Choice,浙商证券研究所资料来源:Choice,浙商证券研究所期间费用率整体呈优化趋势。期间费用率整体呈优化趋势。2018-2022Q3,销售费用率从 1.2%降至 0.57%;管理费用率从 4.66%降至 3.25%;财务费用率从

16、 4.74%降至-0.05%,主要系借款减少致利息费用下降。2022Q3,研发费用率为 3.96%,研发费用为 9.8 亿元,同比增长 13.98%,主要系公司重视研发,持续加大对先进技术的投入,优化产品结构和供应链,提升核心竞争力。1.3 团队领导力卓越,激励促长效发展团队领导力卓越,激励促长效发展公司无控股股东、无实际控制人,领导团队具备国际化视野、先进经营管理理念及卓公司无控股股东、无实际控制人,领导团队具备国际化视野、先进经营管理理念及卓越运营能力。越运营能力。截至 2022 年 9 月,持股 5%以上股东有产业基金、芯电半导体,分别持股13.31%、12.86%。下设重要控股及参股公

17、司有 STATS CHIPPAC PTE.LTD、长电韩国、长电先进、长电科技(宿迁)以及长电科技(滁州)。董事长周子学在工业和信息化领域有逾 30年的经济运行调节、管理工作经验;CEO 郑力曾任恩智浦、瑞萨电子等公司大中华区领导职位;首席技术长李春兴在半导体领域有 20年的广泛封装经验,拥有较强的国际项目管理能力和领导能力。图 4:2022Q1-Q3销售毛利率、净利率分别为 17.98%、9.90%图 5:2018-2022Q3 公司期间费用率资料来源:Choice,浙商证券研究所资料来源:Choice,浙商证券研究所长电科技(600584)深度报告http:/6/19请务必阅读正文之后的免

18、责条款部分图 6:长电科技股权结构(截至 2022年 9 月)资料来源:长电科技公告,浙商证券研究所表 1:长电科技现任管理层公司高管公司高管职务职务性别性别 出生年份出生年份简介简介周子学董事长,董事男19561956 年出生,中国国籍,中共党员,毕业于华中师范大学经济史专业,博士学历,高级会计师,2019 年 5 月至今担任本公司董事长;同时担任中国电子信息行业联合会常务副会长、中国半导体行业协会理事长、云南南天电子信息产业股份有限公司独立董事、浙江盛洋科技股份有限公司独立董事、浙江晶盛机电股份有限公司董事;中芯国际董事长、中芯国际执行董事。于江董事男19761976 年出生,中国国籍,管

19、理学博士,高级工程师。现任华芯投资管理有限责任公司总监。历任华芯投资管理有限责任公司党总支委员,国开科技创业投资有限责任公司总裁,国开科技创业投资有限责任公司筹备组成员,国开金融有限责任公司综合业务部历任副总经理、总经理、党委办公室主任、战略发展部副总经理、投资北京国际有限公司项目管理部部门经理。2022 年 10 月至今担任发行人董事。高永岗董事男19651965 年 3 月出生,中国国籍,无境外永久居留权,现任中芯国际集成电路制造有限公司董事长、执行董事兼首席财务官,2019 年 5 月至今担任本公司若干子公司及参股公司的董事或董事长。高博士拥有逾 30 年企业管理经验,曾担任过多个企业或

20、机构的财务或企业负责人。高博士曾任电信科学技术研究院总会计师、大唐电信集团财务有限公司董事长。现任江苏长电科技股份有限公司(600584。SH)董事及上海奕瑞光电子科技股份有限公司(688301。SH)独立董事。高博士为南开大学管理学博士。现任中国会计学会常务理事、上海证券交易所科创板上市委员会委员,亦是香港独立非执行董事协会创会理事、中国电子信息行业联合会副会长等。张春生董事男19681968 年 2 月出生,中国国籍,硕士学历,2017 年 7 月至今担任本公司董事、产业基金副总裁、产业基金二期副总裁。罗宏伟董事,执行副总裁男19601960 年出生,中共党员,中国国籍,高级经济师,本科学

21、历,2019 年 5 月至今担任本公司董事、执行副总裁。郑力董事,CEO男19671967 年 8 月出生,硕士学历,教授,2019 年 9 月至今担任本公司董事、首席执行长(CEO);恩智浦全球高级副总裁兼大中华区总裁。林桂凤监事会主席女19621962 年 12 月出生,中国国籍,中共党员,助理经济师;北京师范大学经济学院世界经济专业毕业,研究生学历;现任江苏长电科技股份有限公司监事会主席,国家集成电路产业投资基金股份有限公司监事会主席;历任财政部预算管理司主任科员,财政部预算司综合处副处长,财政部预算司综合处处长,财政部预算司副司长,财政部预算司巡视员,财政部社会保障司司长。吴宏鲲董事会

22、秘书男19791979 年 11 月出生,中国国籍,加拿大劳瑞尔大学(Wilfrid LaurierUniversity)商业经济学硕士。历任展讯通信有限公司商务经理,中芯国际集成电路制造有限公司董事长助理,投资者关系部经理,高级经理,助理总监。在半导体领域有近十五年的工作经验,对国内外资本市场与投资者关系管理有深刻的了解和丰富的经验。曾获评机构投资者的最佳投资者关系专业人士的 2018 年度亚洲前三名(硬件/半导体类)。李春兴首席技术长男美国凯斯西储大学理论固体物理博士。现任本公司董事,首席执行长(CEO)兼星科金朋 CEO,同时兼任本公司若干附属公司之董事,董事长。历任安靠研发中心负责人,

23、全球采购负责人,高端封装事业群副总,集团副总,高级副总,首席技术长(CTO)。在半导体领域有 20 年的广泛封装经验,拥有较强的国际化项目管理能力和领导能力,在初创,扭转和快速变化的环境中实现收入,利润和业务增长目标方面取得了多项可验证的成功经历。李春兴先生目前拥有专利 59 件,并在国际上发表了19 篇学术论文。穆浩平资金营运资深副总裁男19631963 年出生,西安交通大学工商管理专业(MBA),硕士研究生学历,研究员级高级会计师。现任本公司资金营运资深副总裁。曾任本公司高级副总裁,首席财务长;中国卫通集团股份有限公司董事,党委书记,副总经理,财务总监等;中卫国脉通信股份有限公司(6006

24、40)董事,董事长;中国华源集团有限公司副总会计师兼财务部部长;彩虹集团公司财务副总监,彩管总厂总会计师等职务。资料来源:Wind,浙商证券研究所长电科技(600584)深度报告http:/7/19请务必阅读正文之后的免责条款部分股权激励股权激励助助力打造专业、一流团队。力打造专业、一流团队。为健全长效激励机制、强化可持续发展能力,2022 年公司发布股权激励计划,拟向 1,382 名中层管理人员及核心技术(业务)授予股票期权数量为 3,113.00 万份。本次激励计划,以 2020-2021 年两年营业收入均值及扣非净利润均值为业绩基数,考核年度为 2022-2024 年。此举将进一步完善相

25、关人才激励机制,满足核心人才队伍和公司整体事业迫切的共同进步需求。表 2:2022年长电科技股权激励计划业绩考核目标行权期行权期对应考核年度对应考核年度累计平均营业收入增长率累计平均营业收入增长率(A)累计平均扣非净利润增长率累计平均扣非净利润增长率(B)目标值(目标值(Am)触发值(触发值(An)目标值(目标值(Bm)触发值(触发值(Bn)第一批次202219.4%15.5%63%50%第二批次202324.6%19.7%75%60%第三批次202433.4%26.7%94%75%考核指标考核指标考核指标完成区间考核指标完成区间指标对应系数指标对应系数累计平均营业收入增长率(A)AAmX=1

26、00%AnAAmX=A/AmAAnX=0累计平均扣非净利润增长率(B)BBmY=100%BnBBmY=B/BmBBnY=0公司层面行权比例(X*50%+Y*50%)*计划年度释放%资料来源:长电科技公告,浙商证券研究所2 技术与需求升级双驱动,行业景气度有望迎来修复技术与需求升级双驱动,行业景气度有望迎来修复2.1 后摩尔时代,先进封装成为全球封测主要增量后摩尔时代,先进封装成为全球封测主要增量集成电路产业链包括芯片设计、制造、封装和测试等环节,各个环节目前已分别发展集成电路产业链包括芯片设计、制造、封装和测试等环节,各个环节目前已分别发展成为独立、成熟的子行业。成为独立、成熟的子行业。封装是

27、用特定材料、工艺技术对芯片进行安放、固定、密封,保护芯片性能,并将芯片上的接点连接到封装外壳上,实现芯片内部功能的外部延伸。封装完成后需要进行性能测试,以确保封装的芯片符合性能要求。通常认为,集成电路封装主要有电气特性的保持、芯片保护、应力缓和及尺寸调整配合四大功能。图 7:集成电路产业链图 8:集成电路封装 4大功能资料来源:伟测科技招股说明书,浙商证券研究所资料来源:甬矽电子招股说明书,浙商证券研究所长电科技(600584)深度报告http:/8/19请务必阅读正文之后的免责条款部分封装技术不断从传统向先进封装演进。封装技术不断从传统向先进封装演进。全球集成电路封装技术目前共经历五个发展阶

28、段。目前,全球封装行业的主流技术处于以 CSP、BGA 为主的第三阶段,并向以倒装封装(FC)、凸块制造(Bumping)、系统级封装(SiP)、系统级单芯片封装(SoC)、晶圆级系统封装-硅通孔(TSV)为代表的第四阶段和第五阶段封装技术迈进。结合行业内按照封装工艺分类的惯例,封装分为传统封装(第一阶段和第二阶段)及先进封装(第三至第五阶段)。根据技术路径与指标差异,先进封装可细分为中端先进封装(第三阶段中大部分)与高端先进封装(第三阶段中少部分以及第四至第五阶段)。传统封装与先进封装的主要区别包括键合方式由传统的引线键合发展为球状凸点焊接,封装元件概念演变为封装系统,封装对象由单芯片向多芯

29、片发展,由平面封装向立体封装发展。表 3:封装技术发展历程阶段时间封装具体典型的封装形式第一阶段20 世纪 70年代以前通孔插装型封装晶体管封装(TO)、陶瓷双列直插封装(CDIP)、塑料双列直插封装(PDIP)第二阶段20 世纪 80年代以后表面贴装型封装塑料有引线片式载体封装(PLCC)、塑料四边引线扁平封装(PQFP)、小 外形 表 面 封 装(SOP)、无 引 线 四 边 扁 平 封 装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DFN)第三阶段20 世纪 90年代球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热器焊球阵列封装(

30、EBGA)、倒装芯片焊球阵列封装(FC-BGA)晶圆级封装(WLP)芯 片 级 封 装(CSP)引线框架 CSP 封装、柔性插入板 CSP 封装、刚性插入板 CSP 封装、圆片级CSP 封装第四阶段20 世纪末开始多 芯 片 组 封装(MCM)多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制板(MCM-L)系统级封装(SiP)三维立体封装(3D)芯片上制作凸点(Bumping)第五阶段21 世纪前10 年开始微电子机械系统封装(MEMS)晶圆级系统封装-硅通孔(TSV)倒装焊封装(FC)表面活化室温连接(SAB)扇出型集成电路封装(Fan-Out)扇入型集成电路封装(Fan-i

31、n)资料来源:甬矽电子招股说明书,汇成股份招股说明书,浙商证券研究所先进封装市场增长显著,为全球封测市场贡献主要增量。先进封装市场增长显著,为全球封测市场贡献主要增量。随着电子产品进一步朝向小型化与多功能的发展,芯片尺寸越来越小,芯片种类越来越多,其中输出入脚数大幅增加,使得 3D 封装、扇形封装(FOWLP/PLP)、微间距焊线技术,以及系统封装(SiP)等技术的发展成为延续摩尔定律的最佳选择之一,先进封装技术在整个封装市场的占比正在逐步提升。根据 Yole 的数据,2020年先进封装全球市场规模 304亿美元,全球封装市场占比 45%;预计 2026 年市场规模增至 475 亿美元,占比达

32、 50%,2020-2026E CAGR 约为 7.7%,优于整体封装市场和传统封装市场成长性。长电科技(600584)深度报告http:/9/19请务必阅读正文之后的免责条款部分图 9:2020-2026年全球封装规模及结构图 10:2019年-2025E 全球先进封装市场结构资料来源:Yole,长电科技定期公告,浙商证券研究所资料来源:气派科技招股说明书,Wind,浙商证券研究所2.2 封测工艺持续突破,带动国内规模向上突破封测工艺持续突破,带动国内规模向上突破中国已经成为全球最大的集成电路市场之一。中国已经成为全球最大的集成电路市场之一。据 IC Insights 统计,2021 年全球

33、半导体市场全年总销售额约为 6,140亿美元,同比增长 24.5%。据中国半导体行业协会统计,2021年中国集成电路产业销售额为 10,458.3 亿元,同比增长 18.2%,2002-2021 年 CAGR 约为21.26%其中,设计业销售额为 4,519 亿元,同比增长 19.6%;制造业销售额为 3,176.3 亿元,同比增长 24.1%;封装测试业销售额 2,763 亿元,同比增长 10.1%。其中,封测 2016 年前其规模一直处于领先地位,而后由于设计业市场规模的快速扩张,封测业占总规模的比例有所下降,2021年调整至 26.42%。图 11:2009-2025 年全球半导体市场规

34、模图 12:2002-2021年我国集成电路产业销售额资料来源:IC Insights,长电科技定期公告,浙商证券研究所资料来源:中国半导体行业协会,Wind,浙商证券研究所中国大陆封测市场目前主要以传统封装业务为主,随着国内领先厂商不断通过海内外中国大陆封测市场目前主要以传统封装业务为主,随着国内领先厂商不断通过海内外并购及研发投入,先进封装业务有望快速发展。并购及研发投入,先进封装业务有望快速发展。近些年,高通、华为海思、联发科、联咏科技等知名芯片设计公司逐步将封装测试订单转向中国大陆企业,国内芯片设计企业的规模逐步扩大,以及全球晶圆制造龙头企业也陆续在大陆建厂扩产,国内封装测试企业将步入

35、更为快速的发展阶段。同时,经过多年的技术创新和市场积累,内资企业产品已由 DIP、SOP、SOT、QFP 等产品向 QFN/DFN、BGA、CSP、FC、TSV、LGA、WLP 等技术更先进的产品发展,并且在 WLCSP、FC、BGA和 TSV 等技术上取得较为明显的突破,产量与规模不断提升,逐步缩小与外资厂商之间的技术差距,极大地带动我国封装测试行业的发长电科技(600584)深度报告http:/10/19请务必阅读正文之后的免责条款部分展。根据 Frost&Sullivan 数据,中国大陆封测市场 2021-2025E CAGR 约为 7.50%,2025年市场规模达到 3,551.90

36、亿元,占全球封测市场约为 75.61%。其中。中国大陆先进封装市场增长迅速,2021-2025E CAGR 约为 29.91%,预计 2025 年中国先进封装占比中国大陆封装市场约为 32%。图 13:2011-2021年全球及中国封测市场规模图 14:2016-2025E 中国先进及传统封测市场规模(销售口径)资料来源:WSTS,甬矽电子招股说明书,浙商证券研究所资料来源:Frost&Sullivan,汇成股份招股说明书,浙商证券研究所全球的封装测试产业正在向中国大陆转移,封测成为我国半导体领域的强势产业。全球的封装测试产业正在向中国大陆转移,封测成为我国半导体领域的强势产业。根据 SIA

37、数据,2021 年中国、美洲、欧洲、亚太其他地区和日本半导体市场销售额分别增长27.1%、27.4%、27.3%、25.9%和 19.8%。从封测产业来看,中国台湾、中国大陆和美国占据主要市场份额,2021年前十大 OSAT 厂商中,中国台湾有五家,市占率为 40.72%;中国大陆有三家,市占率为 20.08%;美国一家,市占率为 13.5%;新加坡一家,市占率为 3.2%。随着我国集成电路国产化进程的加深、下游应用领域的蓬勃发展以及国内封测龙头企业工艺技术的不断进步,国内封测行业市场空间将进一步扩大。图 15:2021年前十大 OSAT厂商所在区域市占率图 16:2021年全球委外封测市场占

38、有率资料来源:长电科技定期公告,芯思想研究院,浙商证券研究所资料来源:长电科技定期公告,芯思想研究院,浙商证券研究所长电科技(600584)深度报告http:/11/19请务必阅读正文之后的免责条款部分2.3 下游新兴应用发展,注入持续增长动力下游新兴应用发展,注入持续增长动力未来在下游新兴应用驱动下,我国集成电路产业的市场需求仍将不断增长,同时带动未来在下游新兴应用驱动下,我国集成电路产业的市场需求仍将不断增长,同时带动封装形式升级及规模增长。封装形式升级及规模增长。在大数据、人工智能和物联网的加持下,全球电子信息产业进入裂变式发展阶段,5G 通讯终端、高性能计算(HPC)、智能汽车、数据中

39、心等新兴应用正在加速半导体产业供应链的变革与发展,对封装工艺及产品性能提出了更高的要求。先进封装可以通过增加功能和保持/提高性能,提升半导体集成电路产品的价值,同时降低成本。先进封装技术不仅在解决计算和电信领域的高端逻辑和存储器方面发挥着重要作用,还在向高端消费/移动领域进一步渗透模拟和射频应用。图 17:2021年国内集成电路市场应用结构资料来源:中国半导体产业协会,中商产业研究院,浙商证券研究所表 4:不同应用领域封装技术介绍应用领域对应封装产品性能、技术要求研发难度消费电子、信息通讯、智能家居、物联网、工业应用、汽车电子SOP可靠性等级满足 MSL3产品结构简单,研发难度低SOT可靠性等

40、级满足 MSL3-MSL1产品尺寸较小,特别是 TSOT 等类型产品,由于产品较正常产品更薄,提高了可靠性要求,研发难度中等DIP可靠性等级满足 MSL3产品结构简单,研发难度低QFN/DFN可靠性等级满足 MSL2-MSL1个性化程度高,多为客户定制化产品,可靠性要求高,特别是客户超小超薄的尺寸要求,对满足产品可靠性提出挑战,研发难度大Qipai可靠性等级满足 MSL3自主设计的封装类型,采用高密度大矩阵设计,对设计能力要求较高,需要考虑引线框架制造和封装制程,特别是塑封流道设计、切筋模具设计等问题,研发难度中等CPC可靠性等级满足 MSL3-MSL1LQFP可靠性等级满足 MSL3-MSL

41、1引脚之间距离很小,管脚很细,一般大规模或超大规模集成电路采用这种封装形式,产品可靠性要求高,共面性难控制,研发难度大FC无引线焊接的低时延封装,可靠性等级满足 MSL3-MSL1产品差异化较大,多为客户定制化产品,要解决凸点制作的难题以及焊接问题,可靠性要求高,研发难度大SIP低功耗和低噪声系统级连接,可靠性等级满足 MSL3-MSL1多个有源器件及无源器件集成,前期需要进行大量的仿真模拟、结构设计等工作,需要有 2D、3D、TSV 的技术以及基板多层布线的技术支持,由于产品可靠性要求高,制程工艺要求较高,研发难度非常高BGA基板阵列引出脚,可靠性等级满足MSL3-MSL1用于多 I/O 数

42、产品,需要专用软件设计 PCB,进行仿真热仿真和应力仿真,属于基板封装,可靠性要求高,研发难度较大长电科技(600584)深度报告http:/12/19请务必阅读正文之后的免责条款部分信息通讯中的 5G 通讯 QFN/DFN可靠性等级满足 MSL2-MSL15G 应用由于其高频率、高功率、高功耗、高散热的工作要求,研发难度大航天军工金属封装、陶瓷封装要求气密性封装、无粒子、无污染、高可靠性军事和航空使用环境苛刻,该应用领域商业价值高、政治意义,使用金属或陶瓷材料,要求气密性封装,对焊接强度、墙体内污染、粒子残留、防空中射线等有很高的要求,且有专门的国家标准,研发难度非常高资料来源:气派科技招股

43、说明书,芯思想研究院,浙商证券研究所通讯技术升级,带动网络建设完善及终端应用形态升级,促进下游封装环节的需求增通讯技术升级,带动网络建设完善及终端应用形态升级,促进下游封装环节的需求增长。长。5G 时代会有海量外部设备的接入,相应的将带动各种智能终端内处理器、模拟芯片和传感器等半导体产品的用量提升。以 5G 手机为例,其中射频前端、天线和功率放大器价值量显著提升,同时伴随高速网络下载大容量文件的需要,5G 手机的闪存用量也比 4G 手机显著增长。汽车电子市场是近年来发展最快的集成电路芯片应用市场之一。汽车电子市场是近年来发展最快的集成电路芯片应用市场之一。随着消费者对汽车智能化、电子化、信息化

44、、网络化要求的逐步提高,计算机、通信、控制、微电子、电子传感器等技术融入汽车产业,无人驾驶等新技术的应用使汽车由传统意义上的机械产品向高新技术产品演进。同时,汽车新能源化引起的动力系统的电气化及驱动方式的变化为汽车电子产品发展带来重大机遇。据赛迪智库数据,2021 年我国汽车电子市场规模为 8,894 亿元,2017-2022E CAGR 为 12.62%,增速超过全球,将进一步带动集成电路以及封装测试行业的蓬勃发展。图 18:国内 5G基站建设情况图 19:2017年-2022E 我国汽车电子市场规模资料来源:长电科技定期公告,工信部,浙商证券研究所资料来源:经纬恒润招股说明书,赛迪智库,浙

45、商证券研究所3 布局先进封装技术,加快产品结构优化布局先进封装技术,加快产品结构优化3.1 技术领先行业,客户高度认可技术领先行业,客户高度认可专注创新求发展专注创新求发展。公司目前的核心封装技术包括 2.5D/3D集成技术、晶圆级封装技术、系统级封装技术、倒装封装技术、焊线封装技术、MEMS 与传感器封装技术。此外,拥有雄厚的工程研发实力和多样化的高技术含量专利,覆盖中、高端封测领域。2022 H1 获得专利授权 57 件,新申请专利 124 件。截至 2022H1,拥有专利 2,988 件,其中发明专利2,406 件(在美国获得的专利为 1,462 件),利用技术优势和创新能力铸造行业竞争

46、优势。长电科技(600584)深度报告http:/13/19请务必阅读正文之后的免责条款部分表 5:长电科技核心封装技术序号序号技术名称技术名称解决方案解决方案应用市场应用市场12.5D/3D 集成技术1堆叠芯片封装(SD)2层叠封装(PoP)3封装内封装(PiP)42.5D/多芯片 eWLB5QFP-SD-Quad Flat Pack with StackedDie高宽带存储器、数据中心,移动设备处理器,高性能计算、人工智能、机器学习2晶圆级封装(WLP)与扇出封装技术1包封芯片封装(ECP)2嵌入型晶圆级 BGA 封装(eWLB)3晶圆级芯片尺寸封装(WLCSP)4集成型被动器件(IPD)

47、5G 移动处理器,WiFi 路由器及功放,车载信息与娱乐系统,可穿戴设备,人工智能、功能型服务器,通信基础设施,通用处理器3系统级封装技术(SiP)1Stacked Die Module2Substrate Module3fcFBGA/LGA SiP4Hybrid(flip chip+wirebond)5Hybrid SiP-double sided6eWLB SiP7fcBGA SiP8Antenna-in-Package-SiP Laminate eWLB9eWLB-PoP&2.5D SiPSSD,高端应用处理器(CPUGPU),功率管理芯片(PMIC),互联模组,基带应用处理器(APU)

48、,前段模组(FEM),射频MEMS,射频功放模组,指纹传感器4倒装封装技术1FCBGA2fcCSP3fcLGA4fcPoP5FCOL-Flip Chip on Leadframe5G 移动处理器,WiFi 路由器及功放,车载传感器,车载信息与娱乐系统,可穿戴设备,无人驾驶系统,音频处理器,通信基础设施5焊线封装技术1LGA2BGA/FBGA/PBGA3存储器封装(Micro-SD etc)4QFP5QFN/DFN6TO,DIP,SOT,SOP,TSOP5G 移动处理器,WiFi 路由器及功放,车载处理器,车载传感器,车载功率器件,车载信息与娱乐系统,存储器(Flash、DRAM),可穿戴设备,

49、通信基础设施,音频处理器6MEMS 与传感器封装技术1embedded Wafer Level Ball Grid Array(eWLB)2WaferLevelChipScalePackage(WLCSP)3Flip Chip Chip Scale Package(fcCSP)4Fine Pitch Ball Grid Array(FBGA)5Land Grid Array(LGA)6Quad Flat No-Lead(QFN)车载压力传感器,打印机芯片,光学传感器,激光雷达,麦克风传感器,射频MEMS,温湿度传感器,物联网(IoT)设备,指纹传感器资料来源:长电科技公司官网,浙商证券研究所领

50、先技术为客户满意度保驾护航领先技术为客户满意度保驾护航。公司拥有中韩两大研发中心,及“高密度集成电路封测国家工程实验室”、“博士后科研工作站”、“国家级企业技术中心”等研发平台,及雄厚的工程研发实力和经验丰富的研发团队。凭借卓越的集成电路成品制造和技术服务能力,长电先进已获得六次德州仪器对全球供应商的最高认可荣誉“TI 卓越供应商奖”;韩国工厂荣获澜起科技颁发的“最佳供应商”奖、国际晶圆制造大厂颁发的“质量与制造卓越奖”以及高通颁发的“卓越供应商”奖。加速落地先进工艺研发。加速落地先进工艺研发。积极推进高密度 SiP 集成技术、以注册商标 XDFOI 为主线的2.5D/3D 晶圆级小芯片集成技

51、术的生产应用和客户产品导入。推进上海创新中心建设,挽长电科技(600584)深度报告http:/14/19请务必阅读正文之后的免责条款部分回上海疫情封控受到的影响,争取 2022 年内投入研发和客户导入使用。同时,借助上海创新中心的运营和集团核心供应链集中认证采购的举措,加快对创新型、属地开发型供应链的认证和支持,优化供应链的本地合作,联合创新,提升公司中长期技术创新的前瞻性和稳定度。3.2 聚焦关键应用领域,提供高端定制化服务聚焦关键应用领域,提供高端定制化服务聚焦关键应用领域,赋能未来成长。聚焦关键应用领域,赋能未来成长。公司在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行

52、业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。表 6:长电科技产品聚焦领域领域领域产品覆盖领域产品覆盖领域长电优势长电优势部分进展情况部分进展情况汽车电子智能座舱、ADAS、传感器和功率器件等通过 ISO9001,IATF16949 认证零缺陷质量守则大功率分立器件封装系统级封装经量产验证的 ADAS 封装方案应用于智能车 77Ghz Radar 系统的 eWLB 方案已验证通过并证明为性能最佳的封装方案;应用于车载安全系统(安全气

53、囊)、驾驶稳定检测系统的传感器的 SOIC 方案已验证通过并量产;应用于 LiDAR 的 LGA 封装方案也通过车规认证并量产,此外多个 LiDAR 相关封装(QFN,MEMS mirror 等)在开发验证中;星科金朋韩国厂获得了多款欧美韩车载大客户的汽车产品模组开发项目,主要应用为智能座舱和 ADAS;中国大陆的厂区已完成 IGBT 封装业务布局,同时具备碳化硅(SiC)和氮化镓(GaN)芯片封装和测试能力,目前已在车用充电桩出货第三代半导体封测产品。通信射频前段模组(RFFE)、毫米波天线 AiP 模组等产品射频系统协同设计与仿真低介质损耗物料清单选配服务RFFE SiP 和 5G AiP

54、 工具箱高速 EMI 屏蔽技术实现一站式、全方位 5G 测试服务5G5G 通讯应用市场领域通讯应用市场领域星科金朋在大颗 fcBGA 封装测试技术上累积有十多年经验,得到客户广泛认同,具备从 12x12mm 到67.5x67.5mm 全尺寸 fcBGA 产品工程与量产能力,同时认证通过 77.5x77.5mm 的 fcBGA 测试产品,目前正在与客户共同开发更大尺寸的封装产品,如接近 100 x100mm的技术;星科金朋与客户共同开发了基于高密度 Fan out 封装技术的 2.5D fcBGA 产品,同时认证通过 TSV 异质键合 3DSoC 的 fcBGA,为进一步全面开发 Chiplet

55、 所需高密度高性能封装技术奠定了坚实的基础。5G5G 移动终端领域移动终端领域完成多项 5G 射频模组的开发和量产,已应用于多款高端5G 移动终端;移动终端的主要元件上,基本实现了所需封装类型的全覆盖;移动终端用毫米波天线 AiP 产品等已验证通过并进入量产阶段;星科金朋新加坡厂拥有可应用于高性能高像素摄像模组的CIS 工艺产线。高性能计算在对集成度和算力有较高要求的 FPGA、CPU、GPU、AI 和 5G网络芯片等高性价比 2.5D 封装超高密度凸块封装技术完整的芯片倒装产品线经量产验证的 WLP 解决方案丰富的区块链芯片封装经验已推出 XDFOI全系列产品,为全球客户提供业界领先的超高密

56、度异构集成解决方案。长电科技(600584)深度报告http:/15/19请务必阅读正文之后的免责条款部分把握新能源和汽车类市场热点把握新能源和汽车类市场热点、加速产品结构从消费类向汽车电子、工业控制类应用、加速产品结构从消费类向汽车电子、工业控制类应用结构优化的战略布局。结构优化的战略布局。2020 年,公司已前瞻布局设立的设计服务事业部和汽车电子事业部,加大工业、汽车产品开发力度提升比例,加快新产品导入时间,加大高附加值产品开发力度,实现新的业务增长点,提前抢占市场份额,以保持长期竞争优势,引领芯片成品制造行业的高质量发展。4 盈利预测与估值盈利预测与估值4.1 细分业务盈利预测细分业务盈

57、利预测公司芯片封测业务可分为传统封装、先进封装和测试,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试等。传统封装:后传统封装:后摩尔时代,封测行业逐渐由传统封测向先进封测过渡。根据 Yole 的数据,传统封装在整个封装市场占比逐渐下滑,预计从 2020 年占比 55%到 2026 年下滑至 50%。近年来受疫情影响全球经济疲软叠加半导体行业周期下行,2022 年行业需求承压价,毛利率面临下行压力。未来随着下游景气度逐步修复,公司作为全球头部封测厂商客户及规模化等优势突出,销量有望保持稳定增长,单价及毛利率有望保持平稳。综上,我们

58、预计公司 20222024 年 传 统 封 装 业 务 销 售 量 为 359.0/394.9/442.2 亿 颗,实 现 收 入 为107.0/114.1/124.0亿元,毛利率为 24.5%/25.0%/25.5%。先进封装:先进封装:先进封装已成为封装技术迭代的主要动力,是未来行业重要的盈利增长点。公司聚焦关键应用领域,拥有行业领先的半导体先进封装技术和资源优势,并实现规模量产。2022 年,公司推动实施技术开发 5 年规划,面向 5G/6G 射频高密度系统的封装及系统级测试、超大规模高密度 QFN 封装、2.5D/3D chiplet、高密度多叠加存储技术等八大类逾三十项先进技术开展前

59、瞻性研发,推动技术和产品价值进一步提升,持续增强市场竞争力。受益于先进封装需求上行及公司技术与产品优势,我们预计 20222024 年公司先进封装产品 销 售 量 为 427.9/470.7/541.3 亿 颗,实 现 收 入 215.6/239.5/282.3 亿 元,毛 利 率 为13.5%/14.0%/14.5%。测试:测试:子公司星科金朋在大颗 fcBGA 封装测试技术上累积有十多年经验,具备从12x12mm 到 67.5x67.5mm 全尺寸 fcBGA 产品工程与量产能力,同时认证通过 77.5x77.5mm的 fcBGA 测试产品。大陆厂区已完成 IGBT 封装业务布局,同时具备

60、碳化硅(SiC)和氮化镓(GaN)芯片封装和测试能力。通信方面,JSCK、SCK 和 D3 与顶级客户保持业务往来,已开发出 5G 相关的毫米波 RF 产品和测试解决方案以及毫米波 AiP 和 RFFE 模块。随着芯片设计和晶圆制造业的快速发展带动测试需求扩大,以及国内测试服务供应的自主可控需求趋强,公司作为行业翘楚,客户、技术、产能规模化等优势将愈发凸显。综上我们预计 20222024 年公测试产品销售为 63.8/79.7/103.7 亿颗,实现收入 10.8/13.6/17.6 亿元,毛利率为 35.5%/36.0%/36.5%。存储DRAM,Flash 等丰富的闪存和 DRAM 产品经

61、验拥有领先的芯片堆叠技术完整的银线引线类封装产品线与全球前三大存储器制造商密切合作在中国、韩国均设有存储器芯片封测量产基地星科金朋厂拥有 20 多年 memory 封装量产经验,16 层NAND flash 堆叠、35um 超薄芯片制程能力、Hybrid 异型堆叠等,均处于国内行业领先的地位。资料来源:长电科技公司官网,长电科技公司定期公告,浙商证券研究所长电科技(600584)深度报告http:/16/19请务必阅读正文之后的免责条款部分表 7:公司细分业务盈利预测表业务业务指标指标20212022E2023E2024E传统封装传统封装年销量(百万只)407944225

62、产品均价(元/只)0.310.300.290.28营业收入(百万元)141212397毛利率26.0%24.5%25.0%25.5%先进封装先进封装年销量(百万只)35658427894706854129产品均价(元/只)0.480.500.510.52营业收入(百万元)395128232毛利率12.0%13.5%14.0%14.5%测试测试年销量(百万只)530366产品均价(元/只)0.130.170.170.17营业收入(百万元)7062毛利率35.2%35.5%36.0%36.5%其他业务其他业务营

63、业收入(百万元)9毛利率35.5%30.0%30.0%30.0%合计合计总营收(百万元)305023351YOY15.3%9.9%10.1%15.4%毛利率18.4%17.8%18.3%18.7%资料来源:浙商证券研究所4.2 盈利预测与估值盈利预测与估值预 计 公 司 2022-2024 年 营 业 收 入 分 别 为 335.1/369.1/426.0 亿 元,同 比 增 速 为9.9%/10.1%/15.4%;归母净利润为 33.0/37.2/44.6 亿元,同比增速为 11.6%/12.8%/19.8%,当前股价对应 PE 为 12.6/11

64、.2/9.4 倍,EPS 为 1.85/2.09/2.51 元。我们选取 A 股上市封测公司通富微电、华天科技作为可比公司,参考可比公司估值均值,同时考虑公司作为国内第一封测大厂优势突出,受益于技术及下游需求升级驱动,有望率先受益。因此,给予公司2023 年 15倍 PE(对应 2022年 17倍 PE),给予“增持”评级。表 8:可比公司估值(截至 2022年 12 月 26日收盘)股票代码股票代码公司名称公司名称最新收盘价最新收盘价总市值(亿元)总市值(亿元)EPSPE2022E2023E2024E2022E2023E2024E002156.SZ通富微电17.74268.450.630.8

65、81.1228.0720.1415.87002185.SZ华天科技8.55273.980.400.450.5521.5218.8215.53平均0.510.670.8324.7919.4815.70600584.SH长电科技23.43416.951.852.092.5112.6311.209.35资料来源:Wind,浙商证券研究所,注:通富微电、华天科技来自 Wind一致预期5 风险提示风险提示新技术及产品研发不达预期的风险。新技术及产品研发不达预期的风险。近年来,随着先进晶圆制程开发速度减缓及投资成本不断增加,封测技术已成为后摩尔定律时代提升产品性能的关键环节。伴随行业技术长电科技(6005

66、84)深度报告http:/17/19请务必阅读正文之后的免责条款部分升级速度加快,下游客户对产品升级迭代也提出了更高要求。若不能及时对产品进行升级迭代、研发方向和需求存在偏差或进度不及预期,将对公司经营造成不利影响。行业波动风险。行业波动风险。集成电路行业具有周期性波动的特点,且半导体行业周期的频率要远高于经济周期,在经济周期的上行或下行过程,都可能出现完全相反的半导体周期,进而半导体行业能否持续回暖具有不确定性,或对公司业绩成长有所扰动。市场竞争加剧风险。市场竞争加剧风险。传统消费电子需求从 2022 Q1 开始逐渐放缓,包括手机制造商在内的 IC 终端用户库存水平显著高于 2021 年。若

67、半导体封测需求继续下降,将导致国内部分封测产能闲置,由此带来的价格竞争,将对公司销售额及利润率造成不利影响。贸易摩擦风险。贸易摩擦风险。2022H1,公司境外收入占比接近 70%。同时,部分重要核心设备来自境外。如果相关国家与中国的贸易摩擦持续升级,或面临设备及原材料短缺或价格大幅上涨、客户流失等风险,导致生产受限、订单减少、成本增加,不利于业务发展和整体经营。长电科技(600584)深度报告http:/18/19请务必阅读正文之后的免责条款部分Table_ThreeForcast表附录:三大报表预测值表附录:三大报表预测值资产负债表利润表(百万元)20212022E2023E2024E(百万

68、元)20212022E2023E2024E流动资产流动资产237827936营业收入营业收入305023351现金27697营业成本24887275433015734640交易性金融资产2670373841124523营业税金及附加77667894应收账项4272673074178574营业费用2其它应收款77516984管理费用01385预付账款1研发费用21754存货34487财务费用206250183122其他26

69、1390283312资产减值损失245000非流动资产非流动资产236822249公允价值变动损益(12)28818金额资产类0000投资净收益3长期投资770770770770其他经营收益201217190192固定资产584915366营业利润营业利润34732无形资产447482516547营业外收支0000在建工程6612利润总额利润总额34732其他3380304930643163所得税2资产总计资产总计37099404644430

70、449994净利润净利润2960331637304470流动负债流动负债098612191少数股东损益215811短期借款2归属母公司净利润归属母公司净利润2959330137224459应付款项5877667570628229EBITDA70743预收账款0532030EPS(最新摊薄)1.661.852.092.51其他32773非流动负债非流动负债4758530052725287主要财务比率长期借款3759420212022E2023E2024E其他8139

71、3成长能力成长能力负债合计负债合计625817478营业收入15.26%9.86%10.14%15.42%少数股东权益9243242营业利润93.55%8.88%15.07%19.15%归属母公司股东权益209932474归属母公司净利润126.83%11.57%12.76%19.79%负债和股东权益负债和股东权益37099404644430449994获利能力获利能力毛利率18.41%17.81%18.29%18.69%现金流量表净利率9.70%9.90%10.11%10.49%(百万元)20212022E2023E2024EROE17.20%14

72、.57%14.22%14.73%经营活动现金流经营活动现金流74295ROIC11.32%11.65%11.60%12.06%净利润2960331637304470偿债能力偿债能力折旧摊销3550351236453819资产负债率43.39%39.91%36.70%34.96%财务费用206250183122净负债比率43.46%37.31%33.95%30.90%投资损失(315)(107)(140)(187)流动比率1.181.662.042.29营运资金变动508(1492)(177)231速动比率0.901.331.681.92其它520(345)(346)(60

73、5)营运能力营运能力投资活动现金流投资活动现金流(6316)(3667)(3304)(4079)总资产周转率0.880.860.870.90资本支出(430)(2445)(3007)(3720)应收账款周转率7.526.115.245.36长期投资183(4)10应付账款周转率5.084.954.985.09其他(6069)(1219)(298)(359)每股指标每股指标(元元)筹资活动现金流筹资活动现金流(492)(890)(578)(425)每股收益1.661.852.092.51短期借款(3095)(1535)(461)(138)每股经营现金4.172.883.874.41长期借款773

74、(55)8191每股净资产11.8013.6515.7418.25其他1830700(125)(478)估值比率估值比率现金净增加额现金净增加额625P/E14.0912.6311.209.35P/B1.991.721.491.28EV/EBITDA8.185.694.763.84资料来源:浙商证券研究所长电科技(600584)深度报告http:/19/19请务必阅读正文之后的免责条款部分股票投资评级说明股票投资评级说明以报告日后的 6个月内,证券相对于沪深 300指数的涨跌幅为标准,定义如下:1.买 入:相对于沪深 300指数表现20以上;2.增 持:相对于沪深 300

75、指数表现1020;3.中 性:相对于沪深 300指数表现1010之间波动;4.减 持:相对于沪深 300指数表现10以下。行业的投资评级:行业的投资评级:以报告日后的 6个月内,行业指数相对于沪深 300指数的涨跌幅为标准,定义如下:1.看 好:行业指数相对于沪深 300指数表现10%以上;2.中 性:行业指数相对于沪深 300指数表现10%10%以上;3.看 淡:行业指数相对于沪深 300指数表现10%以下。我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及

76、其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论。法律声明及风险提示法律声明及风险提示本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关联机构(以下统称“本公司”)对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅反映报告作者的出具日的观点和判断,在任

77、何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,投资者应当对本报告中的信息和意见进行独立评估,并应同时考量各自的投资目的、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。本公司的交易人员以及其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。本报告版权均归本公司所有,未经本公司事先书面授权,任何机构

78、或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。浙商证券研究所浙商证券研究所上海总部地址:杨高南路 729号陆家嘴世纪金融广场 1 号楼 25层北京地址:北京市东城区朝阳门北大街 8 号富华大厦 E 座 4层深圳地址:广东省深圳市福田区广电金融中心 33层上海总部邮政编码:200127上海总部电话:(8621)80108518上海总部传真:(8621)80106010浙商证券研究所:https:/

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(长电科技-复苏系列之封测产业研究:先进封装助力新成长-221226(19页).pdf)为本站 (明日何其多) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部