上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

微导纳米-公司深度研究报告:专注ALD设备光伏+半导体双线突破-230107.pdf(40页)

编号:111906 PDF 40页 2.34MB 下载积分:VIP专享
下载报告请您先登录!

微导纳米-公司深度研究报告:专注ALD设备光伏+半导体双线突破-230107.pdf(40页)

1、本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 1 微导纳米(688147.SH)深度报告 专注 ALD 设备,光伏+半导体双线突破 2023 年 01 月 07 日 微导纳米:国产 ALD 设备领军者。公司以原子层沉积(ALD)技术为核心,专注于先进微纳米级薄膜沉积设备的研发与应用。公司业务涵盖光伏、半导体以及柔性电子领域。主要产品在光伏领域应用于新一代高效太阳能电池(TOPCon、XBC)的薄膜沉积,在半导体领域应用于先进逻辑芯片、新型存储芯片、化合物半导体、新型显示芯片等的薄膜沉积。经过多年技术积累,公司产品已达到国际先进水平。近年来,公司业绩快速增长,营收由

2、2018 年的 0.42 亿元增长至 2021年的 4.28 亿元,CAGR 高达 117%。目前,公司已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。半导体领域先后获得多家国内知名半导体公司的商业订单,并与多家国内主流半导体厂商及验证平台签署了保密协议并开展产品技术验证等工作。先进工艺演进,催生 ALD 需求增量。ALD 可在复杂形貌上,完成可控制于原子层精度的高质量薄膜沉积,因此在光伏和半导体的先进工艺中均有光伏应用。1)半导体领域,ALD 在 45nm 以下具有广阔应用场景,用于 High-k 介质,多重曝光,3D 结构塑造等。据 Gart

3、ner 数据,2021 年 ALD 在全球薄膜设备市场中占比达到 13%,达到 27 亿美元。2)光伏领域,N 型电池时代来临,目前产业化前景最为明确的 TOPCon 电池和 HJT 电池对于薄膜沉积设备需求旺盛。尤其在 TOPCon 电池产线中,薄膜设备约占总设备投资的 33-40%,带来更大的需求增量。受益于 N 型电池的加速渗透,2022 年公司订单大幅增长,截至 2022年 Q3 在手订单接近 20 亿元,同比增长超过 120%。半导体+光伏双轨发展,国产化替代突破实现。半导体领域,公司是国内首家成功将 High-k 原子层沉积设备应用于 28nm 集成电路制造前道量产线的国产设备公司

4、,打破了国际厂商的垄断。公司作为国内半导体 ALD 技术领军者,设备关键性能参数达到国际水平,已在逻辑芯片、先进存储、化合物半导体等多个细分应用领域获得商业订单。光伏领域,公司首创将 ALD 技术应用于光伏规模化量产,研发出 PECVD 技术双平台,具备 TOPCon 整线工艺解决能力,拥有ALD、PEALD 二合一、PECVD、扩散退火炉一系列完备的光伏薄膜设备产品阵列,尤其在 ALD 环节保持国内市场领先优势。投资建议:微导纳米作为国内 ALD 设备龙头企业,在光伏领域持续拓展产品线,在半导体 ALD 领域亦实现突破。我们预计公司 2022-2024 年收入分别为6.03/11.99/16

5、.72 亿元,归母净利润分别为 0.32/1.19/2.01 亿元,对应现价 PE分别为 398/107/63 倍。我们看好公司在 ALD 环节的国产替代潜力,首次覆盖,给予“推荐”评级。风险提示:技术迭代风险;下游扩产不及预期的风险;新产品验证进度不及预期的风险。盈利预测与财务指标 项目/年度 2021A 2022E 2023E 2024E 营业收入(百万元)428 603 1,199 1,672 增长率(%)36.9 41.0 98.6 39.5 归属母公司股东净利润(百万元)46 32 119 201 增长率(%)-19.1 -30.7 273.4 68.3 每股收益(元)0.10 0.

6、07 0.26 0.44 PE 276 398 107 63 PB 14.4 6.6 6.2 5.6 资料来源:Wind,民生证券研究院预测;(注:股价为 2023 年 1 月 5 日收盘价)推荐 首次评级 当前价格:27.81 元 分析师 方竞 执业证书:S04 邮箱: 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 2 目录 1 微导纳米:光伏 ALD 龙头,发力半导体新赛道.3 1.1 国产 ALD 设备领军者.3 1.2 收入连续高增,业务快速扩张.3 1.3 以原子层沉积技术为核心,覆盖行业龙头客户.6

7、1.4 背靠先导智能,核心团队资历深厚.7 1.5 持续高研发投入、提升核心竞争力.9 2 先进工艺演进,催生 ALD 需求增量.12 2.1 ALD 技术延展性强,应用领域广泛.12 2.2 半导体 ALD:先进制程应用广泛.13 2.3 光伏 ALD:受益新型电池加速渗透.21 3 半导体+光伏双轨发展,国产替代突破实现.26 3.1 半导体:布局先进工艺,实现国产 0 到 1 突破.26 3.2 光伏:受益 TOPCon 扩产高峰,订单旺盛.28 4 募投项目分析.32 5 盈利预测与投资建议.33 5.1 盈利预测假设与业务拆分.33 5.2 费用率预测.34 5.3 估值分析.34

8、5.4 投资建议.35 6 风险提示.36 插图目录.38 表格目录.38 WZqUbVgVcXmNvNmO8O9R7NoMqQpNsRiNpPmNlOmMzQbRoPnMvPoOyRMYpMrM微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 3 1 微导纳米:光伏 ALD 龙头,发力半导体新赛道 1.1 国产 ALD 设备领军者 江苏微导纳米公司以原子层沉积(ALD)技术为核心,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售,向下游客户提供先进薄膜沉积设备、配套产品及服务。公司业务涵盖光伏、半导体以及柔性电子领域。主要产品包括应

9、用于新一代高效太阳能电池的薄膜沉积设备和量产解决方案,以及应用于先进逻辑芯片、新型存储芯片、化合物半导体、新型显示芯片等半导体领域的薄膜沉积设备。公司是国内首家成功将量产型 High-K 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的国产设备公司,成功解决一项半导体设备“卡脖子”难题,是国家级专精特新“小巨人”、苏南国家自主创新示范区独角兽企业、江苏省原子层沉积技术工程技术研究中心。微导纳米通过多年的自主创新,不断提高设备的技术水平和产品的竞争力,拓展并深化核心技术应用,不断打造高端装备制造商的优质品牌,推动高端技术装备的国产化、产业化。公司产品率先用于光伏电池片生产过程中的薄膜

10、沉积环节,已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。在成功将 ALD 技术应用于光伏领域后,公司开发了对技术水平和工艺要求更高的半导体薄膜沉积设备,已先后获得国内多家知名半导体公司的商业订单。图1:微导纳米客户涵盖产业链多家龙头公司 资料来源:微导纳米招股说明书,民生证券研究院 1.2 收入连续高增,业务快速扩张 得益于光伏行业需求的持续增长、公司产品匹配电池生产技术发展方向,微导在 2019 年以来实现了收入规模稳健增长。2019-2021 年,公司营业收入从 2.16亿元增长至 4.28 亿元,年均复合增速 40.81%。2022 年前

11、三季度实现收入 3.85亿元,同比增长 67%,保持了稳健增长势头。微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 4 费用端,公司在 2020 年以来呈现一定的费用率波动,具体体现在 2020 年销售费用升高,主要原因是公司订单规模增长、销售及支持人员数量增加导致的职工薪酬和差旅费增加。2022 年前三季度净利润出现短期小幅亏损,主要因为上半年上海周边地区疫情反复,叠加公司因为业务规模扩张大幅扩充了人员规模,导致管理费用率上升。图2:2019-2022 年前三季度微导纳米营收和利润(亿元)图3:2019-2022年前三季度微导纳米期间

12、费用率水平 资料来源:Wind,民生证券研究院 资料来源:Wind,民生证券研究院 收入结构方面,公司营业收入主要来源于薄膜沉积设备、配套产品及服务两大主营业务。自 2018 年以来,光伏行业在 PERC 电池技术产线投资大幅增加,公司ALD 设备镀膜展现了良好的致密性和均匀性,在首台设备获得成功后,迅速打开市场,订单大幅增加,光伏业务收入逐年增长。设备改造业务主要是公司针对市场需求和技术发展趋势,为光伏领域客户在役设备提供尺寸改造、工艺改造等升级改造服务,以帮助下游客户达到降本增效的目的,随着公司市场的持续开拓、设备订单数量不断增长,客户对在役设备的改造需求增加,2021 年设备改造实现收入

13、 1.23 亿元,相比于 2020 年的 447.79 万元有大幅增长,主要系公司在持续开拓市场并自 2018 年起实现设备批量销售后,针对太阳能电池片大尺寸化以及生产工艺技术提升的变化,部分客户对在役设备的改造需求增加,公司在 2021 年度陆续执行完成。分产品种类来看,公司设备产品可分为光伏领域的 ALD 设备、PECVD 设备、PEALD 二合一平台设备,以及半导体领域的 ALD 设备、真空传输系统。2022 年H1,公司光伏 ALD 收入 0.65 亿元,光伏 PEALD 二合一设备收入 0.48 亿元,光伏 PECVD 收入 0.30 亿元,半导体设备收入 475 万元,主要来自真空

14、传输系统。毛利率端,公司业务主体的光伏 ALD 设备毛利率基本维持稳定,但 2021 年和 2022 年 H1 逐步放量的新产品,包括光伏 PEALD 二合一设备、光伏 PECVD、半导体 ALD 等在早期毛利率较低,拉低了总体毛利率水平。02020212022Q1-Q3营业收入毛利归母净利润0%2%4%6%8%10%销售费用率管理费用率财务费用率微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 5 图4:微导纳米专用设备营收情况(亿元)图5:微导纳米专用设备毛利率情况 资料来源:微导纳米招股说明书,民生证券研究院

15、资料来源:微导纳米招股说明书,民生证券研究院 成本结构方面,公司原材料采购以机械一体类、真空系统类与电器类为主。其中主要原材料包括外腔体、质量流量控制器等,随着公司采购量的增长、议价能力的增强以及行业产业化的发展,公司所采购的部分原材料价格呈现下降趋势。表1:微导纳米原材料采购情况(万元)原材料 2022 年 1-6 月 2021 年度 2020 年度 2019 年度 金额 占比 金额 占比 金额 占比 金额 占比 真空系统类 5,110.79 18.53%8,079.57 27.43%7,282.63 30.09%4,829.60 36.22%特殊气体系统类 1,323.66 4.80%75

16、4.96 2.56%872.24 3.60%790.65 5.93%电器类 4,355.59 15.79%3,644.94 12.38%5,083.44 21.01%1,291.65 9.69%气动控制传动类 1,149.74 4.17%1,278.84 4.34%1,050.02 4.34%911.96 6.84%机械一体类 10,734.59 38.93%7,409.93 25.16%4,104.49 16.96%2,259.69 16.94%石墨石英类 1,284.46 4.66%2,122.97 7.21%2,117.48 8.75%524.57 3.93%仪器仪表类 1,064.48

17、 3.86%3,244.43 11.02%1,479.24 6.11%2,098.48 15.74%五金耗材类 1,005.33 3.65%1,174.15 3.99%958.42 3.96%333.84 2.50%其他类 1,547.52 5.61%1,740.21 5.91%1,252.94 5.18%295.47 2.22%合计 27,576.16 100.00%29,450.01 100.00%24,200.90 100.00%13,335.90 100.00%资料来源:微导纳米招股说明书,民生证券研究院 资产负债端,公司 2022 年在手订单大幅增长,合同负债及存货均有高增。公司 2

18、022 年前三季度存货 7.62 亿元,同比增长 72%,存货结构以发出商品为主,前三季度合同负债 4.4 亿元,同比增长 101%,主要得益于在手订单增加。截至2022 年 9 月末,公司光伏、半导体工艺设备在手订单近 20 亿元,同比增幅超过120%,有望为 2022 年收入高增提供保障。00202122H1光伏-ALD光伏-PECVD光伏-PEALD二合一半导体-ALD半导体-真空传输系统0%20%40%60%202H1光伏-ALD光伏-PECVD光伏-PEALD二合一半导体-ALD半导体-真空传输系统微导纳米(688147)/电子 本公司具备

19、证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 6 图6:微导纳米合同负债(亿元)图7:微导纳米存货结构(亿元)资料来源:Wind,民生证券研究院 资料来源:Wind,民生证券研究院 1.3 以原子层沉积技术为核心,覆盖行业龙头客户 公司自 2015 年成立以来不断取得应用领域突破,在光伏设备领域,公司全球首创将 ALD 技术规模化应用于光伏领域,夸父原子层沉积设备被评定为“江苏省首台(套)重大装备产品”,客户包括通威、隆基、爱旭、晶科、阿特斯等光伏头部公司,具备TOPCon整线工艺能力,公司提供核心设备的无锡尚德GW级TOPCon整线项目量产平均效率达 25%。半导体设备领域

20、,公司开发了可应用于逻辑、存储、化合物半导体、先进显示等领域的 ALD 设备,是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的国产设备公司,设备总体表现和工艺关键性能参数达到国际同类水平,并获客户重复订单认可。柔性电子领域,公司自主开发的柔性电子设备实现产业化应用。图8:微导纳米主要历史沿革情况 资料来源:微导纳米招股说明书,民生证券研究院 02020212022Q1-Q309202020212022Q1-Q3原材料在产品发出商品委托加工物资微导纳米(688147)/电子 本公司具备证券投资咨询业务资

21、格,请务必阅读最后一页免责声明 证券研究报告 7 光伏领域,公司客户已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。半导体领域亦导入国内龙头晶圆厂。客户结构上看,2019-2021 年,通威为公司第一大客户。2022 年 H1 公司前五大客户为龙恒新能源、阿特斯、顺风太阳能、爱旭科技和商洛比亚迪,共计占比 92.97%。图9:微导纳米 2021 年前五大客户占比 图10:微导纳米 2022 年 1-6 月前五大客户占比 资料来源:微导纳米招股说明书,民生证券研究院 资料来源:微导纳米招股说明书,民生证券研究院 1.4 背靠先导智能,核心团队资历深

22、厚 本次 IPO 发行前,公司实际控制人为王燕清家族,通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司 67.34%的股份。王燕清家族从事新能源行业多年,掌握上市公司先导智能,为锂电设备龙头企业,涵盖锂电、光伏、3C 电子等领域的智能制造业务,为公司提供客户积累、技术布局等战略支持。公司核心技术人员 LIWEIMING、LIXIANG 分别直接持股 10.47%、4.93%,吴兴华、许所昌通过聚海盈管理间接持股 0.41%、0.34%,核心技术人员持股份额合计 16.15%。27.98%26.93%14.27%9.10%5.89%15.82%通威太阳能龙恒新能源隆基新能源阳光中科客户A其他5

23、0.07%26.80%7.81%4.35%3.93%7.04%龙恒新能源阿特斯顺风太阳能爱旭科技商洛比亚迪其他微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 8 图11:发行前微导纳米股权结构 资料来源:微导纳米招股说明书,民生证券研究院 微导纳米的核心技术人员均拥有深厚的专业能力,并且在重要科研成果与主要知识产权上对公司具有重要贡献。LIWEIMIN,公司副董事、首席技术官,拥有 25 余年原子层沉积技术的研发经验,最早开始研究 ALD 技术的华人之一,先后任职于职于芬兰 ASM Microchemistry(ASM 子公司),芬兰

24、Picosun(现 AMAT 子公司)等国际 ALD设备龙头厂商。2015 年 12 月至 2016 年 1 月就职于先导智能,2015 年 12 月至2019 年 12 月,任微导有限董事;2016 年 2 月至 2019 年 12 月,任微导有限首席技术官;2019 年 12 月至今,任公司首席技术官、董事、副董事长。LIXIANG,公司董事、副总经理,拥有 10 余年半导体器件制造和工艺研发经验,具有丰富的原子层沉积 ALD 工艺技术研发和量产导入经验;国内外核心期刊发表论文 35 篇;获 2020 年江苏省“双创团队”核心成员、2019 年无锡市太湖创新领军型团队核心成员、2018 年

25、江苏省“双创人才”、2018 年无锡市太湖创新领军人才、2016 年江苏省“双创博士”。许所昌,半导体事业部工艺副总监,拥有多年半导体行业薄膜工艺研发经历,主导公司首台用于逻辑芯片 28nmHfO2 栅氧原子层沉积工艺开发并通过客户产线验收。2021 年江苏省“双创人才”、2021 年无锡市“太湖人才计划”创新领军人才、2020 年江苏省“双创团队”核心成员、2019 年江苏省“双创博士”。吴兴华,光伏事业部副总经理,拥有 15 年以上高效率太阳能电池设备与高效电池技术研发经验,曾任中国台湾工业技术研究院高级工程师,长期致力于高效率电池技术开发与产业化研究,在 N 型高效电池制造领域积累了丰富

26、的经验;发表论文 6 篇;荣获工研院杰出金牌研究奖。此外,公司核心管理人员产业经验丰富。总经理周仁,拥有 30 余年半导体设微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 9 备研发和制造经验,历任美国 Novellus System、Lam、KLA 等国内外顶级半导体设备公司技术高管,亦有国内设备龙头中微公司、拓荆科技工作经历,负责多家半导体企业技术和运营管理;副总经理胡彬,国家青年机械设计一等奖获得者,曾任先导智能工程副总经理,具有丰富的非标自动化设备的设计经验,专业能力过硬;董事会秘书龙文与财务负责人俞潇莹也都具有丰富的产业经验,

27、在相关领域深耕多年。1.5 持续高研发投入、提升核心竞争力 微导纳米专注于原子层沉积 ALD 技术的研发与创新,而 ALD 工艺优异的沉积均匀性和一致性使得其在微纳电子学和纳米材料等领域具有广泛的潜力,目前光伏领域、半导体领域等应用场景均体现了 ALD 的技术特点以及优势,为公司的后续发展提供了广阔市场空间。公司高度重视研发,不断加大投入,2022 前三季度,公司研发费用 0.94 亿元,研发费用率达 24.29%。图12:2019-2022 前三季度微导纳米研发投入(万元)资料来源:Wind,民生证券研究院 公司坚持自主研发,已形成原子层沉积反应器设计技术、高产能真空镀膜技术、真空镀膜设备工

28、艺反应气体控制技术等多项核心技术,上述核心技术成功应用于公司各类产品。公司拥有专利 97 项,构筑核心竞争力。表2:微导纳米的代表性核心技术情况 技术名称 技术来源 专利情况 光伏领域应用情况 半导体领域应用情况 原子层沉积反应器设计技术 自主研发 授权发明专利 4 项 已产业化应用 已产业化应用 高产能真空镀膜技术 自主研发 授权发明专利 3 项 已产业化应用 已产业化应用 真空镀膜设备工艺反应其他控制技术 自主研发 授权发明专利 2 项 已产业化应用 已产业化应用 纳米叠层薄膜沉积技术 自主研发 授权发明专利 5 项 已产业化应用 已产业化应用 高质量薄膜制造技术 自主研发 授权发明专利

29、1 项 已产业化应用 已产业化应用 工艺设备能量控制技术 自主研发 授权发明专利 1 项 已产业化应用 已产业化应用 基于原子层沉积的高效电池技术 自主研发 授权发明专利 7 项 已产业化应用-资料来源:微导纳米招股说明书,民生证券研究院 0%20%40%020004000600080000022Q1-Q3研发费用研发费用率微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 10 在已有研发成果基础上,公司制定了具体的研发计划,通过对原子层沉积技术的半导体、光伏以及柔性电子设备扩产升级项目,进一步

30、提升公司的研发实力和生产能力,巩固并提高公司的市场份额。未来产品开发规划中,公司亦积极加大新技术布局。在光伏镀膜设备上,推广以 ALD 技术为核心技术的下一代高效电池生产整体解决方案,同时与上下游以及国内外顶尖研究机构合作开发 HJT、钙钛矿等其他高效电池关键镀膜技术和装备;在半导体镀膜设备上,积极研发逻辑、存储、新型显示、化合物半导体的 ALD 技术和设备,扩大市场份额。表3:微导纳米研发计划情况 序号 名称 拟达到的目标 所处阶段及进展情况 应用领域 1 TOPCon 整线技术的开发 开发出可量产的批量型等离子增强 ALD(PEALD)设备及其配套的自动化设备,采用二合一架构,同时完成 T

31、OPCon 电池正面钝化层及减反射层、背面隧穿层及多晶硅层的制作 产业化验证 TOPCon 电池 2 应用于新能源电池的 ALD镀膜设备的研发及产业化 开发出批量式粉末 ALD 沉积设备、新能源及催化材料改性柔性材 ALD 沉积备,在精确控制镀膜厚度的同时,提升包覆率、均匀性,提高材料性能,降低原材料耗用量以及提升产能,生产成本 开发实现 新能源 3 半导体制造 ALD 设备平台 开发具有国际水平的半导体制造 ALD 设备产品及配套工艺平台 开发实现 半导体等领域 4 大尺寸硅片PEALD/PECVD 设备 开发基于等离子增强型的 ALD 设备(PEALD),以及配套设备,使其能够满足相关工艺

32、加工需求 开发实现 光伏领域 5 新一代化合物半导体Mini-LED 显示技术关键工艺技术研发及产业化 本项目研发的针对新一代化合物半导体 Mini LED 显示技术的设备可用于各类高、低温薄膜工艺应用,特别是氮化硅工艺,能够全面满足 300mm/200mm 晶圆的薄膜沉积工艺需求,为先进逻辑芯片、存储芯片、先进封装等提供介质层、图案化等关键工艺解决方案。开发实现 新型显示 6 先进化合物半导体及微机电关键工艺及产业化应用 开发 6/8 寸单片 ALD 系统,用于特殊半导体器件、MEMS、光电器件及化合物半导体器件等行业应用 开发实现 化合物半导体和微机电领域 7 尖端存储器制造关键低温工艺及

33、装备的研究与产业化 研发工艺用高生产率配置 ALD 系统,采用新 ALD 循环掺杂比例的技术,将多元系氧化物的组成比控制在个别应用领域元件所需的组合比,提供了解决目前铁电存储器(FeRAM)和铁电场效应晶体管(FeFET)器件制造方案 开发实现 半导体等 8 基于 300mm 晶圆半导体制造高产能自动化真空传输技术的研究与产业化 开发具有自主知识产权的原子层沉积团簇平台,是生产 ALD和其它 10nm 以下的工艺腔体必备的低微尘、高产能的晶圆传输平台 开发实现 半导体等 9 28nm 及以下技术节点高介质栅氧及金属栅工艺技术和装备的国产化 开发用于先进芯片制造高介电常数(High-k)材料的原

34、子层沉积(ALD)设备及工艺 开发实现 半导体等 10 高效太阳能晶硅电池接触钝化技术的研究与产业化 开发应用于新型高效电池技术生产工序中的正背膜钝化设备,确保光电转换效率的进一步提升,并进一步提升了高效电池的产能 开发实现 光伏 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 11 11 叠层电池技术研发 开发一种等离子体镀膜用电极结构,保证镀膜均匀性;开发一种沉积多种材料类型的镀膜技术,保证硅异质结电池(叠层电池)技术灵活性,为更高效电池效率的取得提供可能性 开发实现阶段 光伏领域 12 高阻隔膜产业化技术研发 开发幅宽大、阻隔等级

35、超高的量产型卷对卷空间原子层设备及配套自动化装备 开发实现阶段 柔 性 电 子 材料 资料来源:招股说明书,民生证券研究院 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 12 2 先进工艺演进,催生 ALD 需求增量 2.1 ALD 技术延展性强,应用领域广泛 原子层沉积(ALD)技术是一种特殊的真空薄膜沉积方法,具有较高的技术壁垒。通过 ALD 镀膜设备可以将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一次/层为一个原子层,根据原子特性,镀膜 10 次/层约为 1nm。ALD 技术通过将气相前驱体脉冲交替地通入反应室并在沉积基

36、底上发生表面饱和化学反应形成薄膜。典型的热原子层沉积(TALD)技术是利用加热为薄膜沉积过程中的化学吸附提供活化能。以三甲基铝(TMA)为金属铝源、水蒸气为氧源,沉积 Al2O3薄膜的反应为例,每一个单位循环分为四步:图13:ALD 技术原理 资料来源:微导纳米公开路演资料,民生证券研究院 ALD可在复杂形貌上,完成可控制于原子层精度的高质量薄膜沉积。由于ALD技术表面化学反应具有自限性,因此拥有多项独特的薄膜沉积特性:1、三维共形性,广泛适用于不同形状的基底;2、大面积成膜的均匀性,且致密、无针孔;3、可实现亚纳米级的薄膜厚度控制。ALD 技术局限主要在于沉积速度低,前驱体材料受限等。根据以

37、上特性,原子层沉积(ALD)技术是一个具备前瞻与共性的关键真空镀膜技术,可广泛适用于不同场景下的薄膜沉积,在光伏、半导体、柔性电子等新型显示、MEMS、催化及光学器件等诸多高精尖领域均拥有良好的产业化前景。公司在成功实现 ALD 技术应用于光伏领域后,先后开发出对技术水平和工艺要求更高的半导体和柔性电子薄膜沉积设备,并逐步拓展应用领域。微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 13 图14:微导纳米 ALD 技术应用 资料来源:微导纳米公开路演资料,民生证券研究院 2.2 半导体 ALD:先进制程应用广泛 2.2.1 薄膜设备种类

38、繁多,进口替代空间可观 半导体设备主要包括前道工艺设备和后道工艺设备,前道工艺设备为晶圆制造设备,后道工艺设备包括封装设备和测试设备,其他类型设备主要包括硅片生长设备等。其中晶圆前道工艺设备整体占比超过 80%,是半导体设备行业最核心的组成部分。从晶圆厂的投资构成来看,刻蚀设备、光刻设备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备。其中,薄膜沉积设备投资额占晶圆厂投资总额的 16%,占晶圆制造设备投资总额的 21%。图15:晶圆厂投资构成 资料来源:微导纳米招股说明书,民生证券研究院 厂务 25%光刻 20%刻蚀 17%薄膜 16%量测 8%5%3%2%2%其他3%设备75%厂务光刻

39、刻蚀薄膜量测清洗CMP热处理注入微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 14 根据 Maximize Market Research 数据统计,全球半导体薄膜沉积设备市场规模从 2017 年的 125 亿美元扩大至 2020 年的 172 亿美元,年复合增长率为11.2%。预计至 2025 年市场规模可达 340 亿美元,保持年复合 13.3%的增长速度。伴随着国家鼓励类产业政策和产业投资基金不断的落实与实施,本土半导体及其设备制造业迎来了前所未有的发展契机,而薄膜沉积设备作为半导体制造的核心设备,将会迎来可观的进口替代市场空间

40、。图16:2017-2025 年全球半导体薄膜沉积设备市场规模(亿美元)资料来源:Maximize Market Research,微导纳米招股说明书,民生证券研究院 薄膜沉积是指采用物理或者化学的方法使物质附着于衬底材料表面的过程。按工艺原理的不同,集成电路薄膜沉积可分为物理气相沉积(Physical Vapor Deposition,PVD)、化学气相沉积(Chemical Vapor Deposition,CVD)和原子层沉积(Atomic Layer Deposition,ALD)设备。1)物理气相沉积(PVD):采用物理方法将材料源(固体或液体)表面气化成气态原子或分子,或部分电离成

41、离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD 镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀膜和真空离子镀膜。2)化学气相沉积(CVD):化学气体在外部能量作用下发生化学反应,在衬底表面沉积薄膜的一种工艺。用于沉积的材料包括介电材料、绝缘薄膜、硬掩模层以及金属膜层的沉积。常见的 CVD 包括低压化学气相沉积(LPCVD)、常压化学气相沉积(APCVD)、等离子体增强型气相沉积(PECVD)、金属有机化合物化学气相沉积(MOCVD)。3)原子层沉积(ALD):原子逐层沉积在衬底材料上的工艺,通过将两种或多种前驱物交替通过衬底表面,发生化学吸附反应逐层

42、沉积在衬底表面,能对复杂形貌基底表面全覆盖成膜。由于 ALD 设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现了芯片制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准的先进逻辑芯片、DRAM 和 3DNAND 制造中,ALD20340050030035040020020 2021E 2022E 2023E 2024E 2025E微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 15 是必不可少的核心设备之一。图17:薄

43、膜沉积设备技术分类 资料来源:微导纳米招股说明书,民生证券研究院 三种薄膜沉积技术互为补充,ALD 在 45nm 以下具有广阔应用场景。在芯片的制造过程中,涉及十余种不同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和材料的薄膜,因此 PVD、CVD、ALD 三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互补充,如 PVD 一般用于较厚的金属及导电类的平面膜层制备;CVD 一般适用中等以上厚度的膜层制备、应用范围广;ALD 可以一个原子的厚度(约 0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用。表4:PVD、CVD、ALD

44、三大技术路线对比 技术路线对比 PVD CVD ALD 沉积原理 物理气相沉积 化学气相反应 化学表面饱和反应 沉积过程 成核生长 成核生长 逐层饱和反应 沉积速度 快 快 慢 均匀性控制能力 5nm 左右 0.5-2nm 0.07-0.1nm 薄膜质量 化学配比一般,针孔数量高,应力控制有限 具有很好的化学配比,针孔数量少,具有应力控制能力 具有很好的化学配比,针孔数量少,具有应力控制能力 阶梯覆盖能力 弱 中 强 工艺环境(温度、压强、流场等)对真空度的要求较高,镀膜具有方向性 对工艺参数的变化较为敏感 基于表面化学饱和反应,工艺参数可调整范围较大 资料来源:微导纳米公开路演资料,民生证券

45、研究院 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 16 图18:PVD、CVD、ALD 薄膜沉积效果示意图 资料来源:微导纳米招股说明书,民生证券研究院 从市场空间上看,CVD 为薄膜中价值量最高的品类,其中又以 PECVD 为最大。据 Gartner 数据,2021 年全球 PECVD 设备市场 63.2 亿美元,占薄膜设备的 30.56%,PVD 设备市场 43.6 亿美元,占 21.08%,ALD 设备市场 27 亿美元,占 13.06%。图19:2021 年半导体薄膜沉积设备占比 资料来源:Gartner,民生证券研究院

46、由于芯片工艺进步及结构复杂化,先进制程下薄膜设备精密化、多样化,由此产生各种薄膜沉积工艺设备份额的变化。在半导体制程进入 28nm 后,由于器件结构不断缩小且更为 3D 立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面均匀镀膜。在此背景下,ALD 技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。020406080224PECVDALDPVD30.56%21.08%13.06%35.30%PECVDPVDALD其他微导纳米(688147)/电子 本公司

47、具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 17 2.2.2 服务先进制程,工艺演进带来用量增长 原子层沉积(ALD)设备根据供能方式的不同,可分为热原子层沉积(Thermal ALD)设备和等离子增强型原子层沉积(Plasma Enhanced ALD,PE-ALD)设备。热原子层沉积设备依靠热能激发两种或多种前驱物发生化学反应。为提供足够的反应激活能量,热原子层沉积设备一般的工作温度区间是 200-500。在热原子层沉积设备基础上,通过在工艺腔室中引入等离子体,可以有效降低工艺温度,满足低热预算的工艺要求。另外,等离子体的引入可以使更多的前驱物满足 ALD工艺化学吸

48、附反应所要求的反应激活能,从而使 ALD 工艺制备更多的薄膜。除了降低工艺温度,PE-ALD 工艺在提高薄膜致密性、降低薄膜杂质含量等方面也具有一定的优势。根据等离子体引入方式不同,PEALD 分为电容耦合型(CCPPEALD)和电感耦合型(ICPPEALD)两类。图20:喷淋头式热原子层沉积设备工作原理图 图21:CCPPE-ALD 设备原理示意图 资料来源:集成电路产业全书,民生证券研究院 资料来源:集成电路产业全书,民生证券研究院 ALD 技术主要服务先进制程,在 28nm 及以下制程逻辑芯片、先进 DRAM芯片、高堆叠层数 3DNAND 以及新型存储器中某些特定薄膜沉积环节有着至关重要

49、的作用,其应用环节不断增加。(1)High-k 介质 在晶圆制造进入 65nm 制程及以上,集成电路主要通过沉积 SiO2 薄膜形成栅极介质减少漏电,但进入 45nm 制程特别是 28nm 之后,传统的 SiO2 栅介质层薄膜材料厚度需缩小至 1 纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加,器件性能急剧恶化。由于高 k 的栅介质层厚度往往小于 10nm,所需的膜层很薄(通常在数纳米量级内),用高 k 材料替代 SiO2,在同样电流密度下栅电介质可以制作更高的物理厚度,抑制漏电流的产生。ALD 技术凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,能满足高 k 栅介

50、电质薄膜沉积工艺的需求。常见的高 k 材料包括 TiO2、HfO2、AI2O3、ZrO2、Ta2O5等,其中 HfO2具有适合的禁带宽度(5.8eV),因此作为栅介层得到了业内广泛的应用。微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 18 图22:SiO2 与 high-k 作为栅极氧化物对比情况 图23:MOS 结构与高 k 栅介电层示意图 资料来源:real world tech,民生证券研究院 资料来源:微导纳米公开路演资料,民生证券研究院 (2)多重曝光 自 2001 年后,晶圆制造开始采用效率更高的 22nm/16nm/14

51、nm FinFET 晶体管结构,但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡层。由于多重曝光需要薄膜技术具有接近 100%的保形性、薄膜厚度控制精准,因此 ALD 技术被迅速推广应用。图24:ALD 技术在多重曝光中的应用 资料来源:微导纳米公开路演资料,民生证券研究院 (3)3D 立体结构 无论是逻辑芯片还是存储芯片,随着工艺的升级均在走向 3D 立体结构,元器件逐步呈现高密度、高深宽比结构。由于 ALD 独特的技术优势,在每个周期

52、中生长的薄膜厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满足器件尺寸不断缩小和结构 3D 立体化对于薄膜沉积工序中薄膜的厚度、三维微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 19 共形性等方面的更高要求。图25:2D 平面结构、3D 立体结构的卡脖子技术展示 资料来源:微导纳米公开路演资料,民生证券研究院 得益于前文提及的多种应用,ALD 在先进工艺中用量持续增长,逻辑芯片从40nm 到 7nm 制程结点中 ALD 技术应用环节数量从 1 种增长至 11 种,存储芯片中 FeRAM、ReRAM、3DNAND、D

53、RAM 等亦对 ALD 技术有广泛采用。图26:逻辑芯片各制程结点 ALD 应用环节数量(次)图27:存储芯片各类型 ALD 技术应用环节数量(次)资料来源:微导纳米公开路演资料,民生证券研究院 资料来源:微导纳米公开路演资料,民生证券研究院 2.2.3 全球格局:海外巨头垄断 从全球市场来看,ALD 设备主要由荷兰 AMS 和日本 TEL 垄断,两者合计占60%市场份额。与此同时,美国 Lam、AMAT 等国际半导体设备厂商的产品线均涵盖 ALD 设备。02468101240nm28nm14nm7nm0FeRAM等3D NANDDRAM其他微导纳米(688147)/电子

54、 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 20 (1)ASM 全球最大的半导体设备制造商之一。公司产品涵盖了晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。1999 年收购了芬兰公司Microchemistry,获得 ALD 装备制造能力,是原子层沉积领域的先驱。(2)TEL 世界主要的半导体制造设备、液晶显示器制造设备制造商之一。在半导体 ALD设备全球市场份额位列第二,也是日本最大的半导体成膜、刻蚀设备公司。(3)AMAT 世界上最大的半导体装备供应商,提供泛半导体装备包含半导体及封装、太阳能、LED 等领域,在全部的前道工艺上除光刻机以外都

55、有全系列的专用装备提供。2022 年收购芬兰 Picosun,研发的 ALD 技术被用于从逻辑和存储器到 LED、微机械 MEMS 器件和电源芯片的芯片制造,在半导体领域份额较低。(4)Lam 世界上第三大半导体装备供应商,产品着重在薄膜沉积、等离子刻蚀、光阻去除、晶片清洗等半导体前道工艺和封装应用。该公司产品线包含 ALD 设备。图28:2020 年全球 ALD 市场份额 资料来源:Gartner,民生证券研究院 而国内方面,除了微导纳米之外,拥有半导体薄膜沉积设备业务的 A 股上市公司主要有北方华创、中微公司、拓荆科技。北方华创、拓荆科技分别主要经营PVD 产品、PECVD 产品,两家公司

56、 ALD 设备曾实现销售,部分客户仍处于工艺验证阶段。中微公司主要为半导体客户提供刻蚀设备、MOCVD 设备,ALD 设备为其筹划开发产品。目前,国内拥有半导体ALD技术产业化能力的企业家数较少,国产半导体ALD设备业务规模与国际竞争对手相比整体偏小。在国产替代背景下,随着核心技术的46%29%10%16%ASMTELLam其他微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 21 不断突破、不同环节工艺水平的提升、量产的持续推进,国内半导体 ALD 设备企业具有广阔的发展空间。2.3 光伏 ALD:受益新型电池加速渗透 2.3.1 新型

57、电池路线明确 2010 年以来,全球太阳能光伏产业进入了高速发展期,太阳能光伏年装机容量快速增长,上游相关行业也得到迅速发展。2011 年至 2021 年间,全球年度光伏新增装机容量和累计装机容量大幅增长,其中,新增装机容量由 2011 年的32.2GW 增加至 2021 年的 170GW,增长超过 5 倍。我国太阳能光伏产业起步相对国外较晚,但受惠于全球光伏行业的高速发展,凭借国家政策的大力支持与人力资源、成本优势,发展极为迅速。截至 2021年底,我国光伏发电装机量达307GW,同比增长 21%,连续 7 年位居全球首位;2021 年新增光伏发电装机 54.88GW,同比增长 13.9%,

58、连续 9 年位居世界第一。图29:2014-2021 年我国太阳能光伏累计及新增装机容量(GW)资料来源:微导纳米招股说明书,民生证券研究院 而光伏电池片是太阳能光伏上游的核心环节,我国电池片产业亦领先全球。2010 年至 2021 年,我国太阳能电池片产量逐年上升,2021 年我国电池片产量为 197.9GW,较 2020 年同比增长约 46.8%,生产规模自 2007 年开始连续 14年居全球首位。05003003502000202021累计装机容量新增装机容量微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,

59、请务必阅读最后一页免责声明 证券研究报告 22 图30:2011-2021 全球及我国电池片产量情况 资料来源:微导纳米招股说明书,民生证券研究院 从技术路径上看,太阳能电池片技术路线主要包括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)、背接触(IBC)及钙钛矿等。P 型电池以 P 型硅片为原材料,技术路线包括传统的铝背场技术以及目前非常成熟的 PERC 技术;N 型电池以 N 型硅片为原材料,技术路线包括 TOPCon、HJT 等,近年来已有厂商陆续开始布局,属于下一代高效电池技术路线的潜在方向,而 IBC 和钙钛矿为未来技术,尚处于实验和验证阶段。图31:2020-

60、2030 年不同电池技术市场占比的变化趋势 资料来源:微导纳米招股说明书,民生证券研究院 现阶段来看,TOPCon 电池升级迭代的最大优势在于其与 PERC 产线兼容度高,可从 PERC 产线改造升级,是目前初始投资成本最低的 N 型高效电池之一。HJT 技术的核心优势是电池结构相对简单,然而目前设备成本依旧较高,经济性不足,在材料端和设备端均存在降本空间。据 CPIA 数据,2021 年国内 TOPCon 电池每 GW 平均设备投资额约 2.2 亿元,而 HJT 则为 4 亿元。05002011 2012 2013 2014 2015 2016 2017 2018 20

61、19 2020 2021全球电池片产量(GW)我国电池片产量(GW)05003003502000202021累计装机容量新增装机容量微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 23 表5:PERC、TOPCon、HJT 电池的对比情况 电池类型 2020 年 2021 年 设备投资(亿元/GW)平均转换效率 设备投资(亿元/GW)平均转换效率 PERC 2.25 22.80%1.94 23.10%TOPCon 2.7 23.50%2.2 24.00%HJT 4.5-5

62、.5 23.80%4 24.20%资料来源:CPIA,中国光伏产业发展路线图,微导纳米招股说明书,民生证券研究院 2.3.2 光伏设备:国内厂商主导,技术创新催生设备需求 经过多年发展,我国光伏电池设备制造基本实现国产替代,并在国际竞争中处于优势地位,自 2010 年以来,中国一直是全球最大的光伏设备市场。2021 年,随着光伏企业产能扩张的计划发布,相关设备厂商订单不断增加,我国光伏设备产业规模超过 400 亿元。在光伏行业“降本增效”的发展趋势推动下,新产品、新技术层出不穷,相应量产和扩产需求催生更多的生产设备需求,在国内可观的市场需求拉动下,光伏设备厂商收入快速增长。图32:2013-2

63、021 年全球光伏设备行业销售收入 资料来源:微导纳米招股说明书,民生证券研究院 光伏薄膜沉积设备主要应用于太阳能晶硅电池片的制造环节,根据电池不同工艺和所需的薄膜性质,所采用的薄膜沉积设备会有所不同。2018 年-2021 年,我国新建成产线基本全部为 PERC 产线,针对目前已经大规模生产的 PERC 电池生产技术,生产设备基本实现国产化,其中薄膜沉积设备主要用于 PERC 电池的钝化和减反膜的制备。对于新型高效电池来说,目前产业化前景最为明确的 TOPCon 电池和 HJT 电池对于薄膜沉积的需求更高。TOPCon 电池生产线可以由 PERC 电池生产线升级改造实现,除原薄膜沉积需求外,

64、还增加了隧穿层和掺杂多晶硅层镀膜需求。HJT电池整体结构变化较大,其制造环节只需 4 大类设备,分别是制绒清洗设备(投资占比 10%)、非晶硅沉积设备(投资占比 50%)、透明导电薄膜设备(投资占比25%)和印刷设备(投资占比 15%),其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积设备。-20%0%20%40%00702013 2014 2015 2016 2017 2018 2019 2020 2021全球光伏设备行业销售收入(亿美元)增长率微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 24 图33:

65、PERC、N-PERT、TOPCon、HJT、XBC 电池结构 资料来源:微导纳米公开路演资料,民生证券研究院 TOPCon 等 N 型电池对薄膜设备市场的促进直接体现在设备投资比重上。根据下游上市公司披露的项目投资明细,在2020年来的主要N 型电池扩产项目中,薄膜设备的投资占比约在 33-40%,而 PERC 电池产线中,薄膜设备投资占比约在25%左右。表6:上市公司项目投资中 TOPCon 产线与 PERC 产线的投资规模情况 上市公司 时间 项目 电池类型及规模 设备投资总额(亿元)薄膜沉积等设备投资占比 中来股份 2021.5 年产 16GW 高效单晶电池智能工厂项目(一期)TOPC

66、on 电池 8GW 20.25 36.43%隆基股份 2021.5 西咸乐叶年产 15GW 单晶高效单晶电池项目 TOPCon 电池15GW 46.64 34.57%隆基股份 2021.5 宁夏乐叶年产 5GW 单晶高效电池项目(一期 3GW)N 型电池 3GW 10.15 33.00%爱旭股份 2021.4 珠海年产 6.5GW 新世代高效晶硅太阳能电池建设项目 N 型电池 6.5GW 36.15 37.34%爱旭股份 2021.4 义乌年产 10GW 新世代高效太阳能电池项目第一阶段 2GW 建设项目 N 型电池 2GW 11.33 36.63%天合光能 2020.12 盐城年产 16GW

67、 高效太阳能电池项目 PERC 电池 16GW 54.21 24.71%天合光能 2020.12 年产 10GW 高效太阳能电池项目(宿迁二期 5GW)PERC 电池 5GW 16.99 26.73%天合光能 2020.12 宿迁(三期)年产 8GW 高效太阳能电池项目 TOPCon 电池 8GW 31.41 39.12%通威太阳能 2020.8 年产 7.5GW 高效晶硅太阳能电池智能工厂项目(眉山二期)PERC 电池 7.5GW 17.78 26.17%通威太阳能 2020.8 年产 7.5GW 高效晶硅太阳能电池智能互联工厂项目(金堂一期)PERC 电池 7.5GW 18.72 24.8

68、5%资料来源:微导纳米招股说明书,民生证券研究院 光伏薄膜设备需求的旺盛增长之下,涌现了较多的国产供应商。目前光伏领域薄膜沉积设备厂商主要包括采用 ALD 技术的无锡松煜、理想晶延,以及主要采用PECVD 技术的捷佳伟创(300724.SZ)、北方华创(002371.SZ)、红太阳、拉普微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 25 拉斯、Centrotherm(商先创)等。而微导在 ALD 领域占据着领先优势。表7:光伏领域同行业可比公司 公司名称 2021 年营业收入 光伏薄膜设备业务情况 市场地位 无锡松煜 0.9 亿元(2

69、020 年)包括 ALD 设备、PECVD 设备、LPCVD 设备等,未披露细分设备具体规模 新兴供应商,增速较快。理想晶延 3.11 亿元(2020 年)包括板式 ALD 设备、PECVD 设备等,未披露细分设备具体规模 国内主要从事光伏 ALD 设备的企业之一,产品类型以板式 ALD 设备为主。捷佳伟创 50.47 亿元 主营产品包括 PECVD 及扩散炉等在内的光伏设备,其光伏镀膜设备主要采用 PECVD技术路线,目前尚无 ALD 设备。国内主要的太阳能电池设备企业之一。北方华创 96.84 亿元 产品体系丰富,应用领域广泛,其光伏镀膜设备主要采用 PECVD 技术路线。2020 年光伏

70、 PECVD 设备收入约 3-5 亿元。国内主要的电子工艺装备(半导体设备、真空设备、锂电设备)和电子元器件企业之一,红太阳 4.70 亿元(2020 年)包括 PECVD 设备、PVD 设备等,未披露细分设备具体规模。国内主要从事光伏 PECVD 设备的企业之一,产品类型以管式 PECVD 设备为主。拉普拉斯 未披露 未披露 光伏领域的设备包括扩散系统、LPCVD、PECVD 等设备,其主要产品 LPCVD 设备用于 TOPCon 电池掺杂多晶硅环节。Centrotherm 1.07 亿欧元(2020 年)光伏 PECVD 设备收入约 3.30 亿元(根据捷佳伟创披露的 2019、2020

71、年 PECVD 中标市场份额测算)较早从事光伏设备制造的国外厂商,薄膜沉积设备主要为 PECVD 设备。微导纳米 4.28 亿元 产品线包括光伏 ALD、光伏 PECVD、光伏PEALD 二合一设备。国内主要从事光伏 ALD 设备的企业之一,产品类型以管式 ALD 设备为主。资料来源:微导纳米招股说明书,Wind,民生证券研究院 从下游电池片厂商的角度来看,太阳能电池片业内龙头主要包括隆基、通威、爱旭、晶澳等厂商。微导在较短时间内实现了 ALD 技术在光伏领域的成功应用,与下游的前十大龙头厂商均建立了合作关系。表8:光伏领域客户 排名(产能)企业(集团)名称 2021 年产能(MW)2021

72、年产量(MW)是否为微导客户 1 隆基股份 42480 25440 是 2 通威太阳能 40800 32930 是 3 爱旭科技 36000 19470 是 4 晶澳科技 30600 18940 是 5 天合光能 29400 18900 是 6 润阳悦达 19800 12630 是 7 晶科能源 13150 8960 是 8 阿特斯 9750 7070 是 9 江苏中宇 9000 5000 是 10 江西展宇(捷泰)8200 5640 是 TOP10 合计 246.1 155-2021 年国内市场总量 360.6 197.9-资料来源:微导纳米招股说明书,民生证券研究院 微导纳米(688147

73、)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 26 3 半导体+光伏双轨发展,国产替代突破实现 3.1 半导体:布局先进工艺,实现国产 0 到 1 突破 在半导体领域,公司拥有 Thermal-ALD 产品凤凰(P)系列、麒麟(QL)系列、PE-ALD、T-ALD 两种设备类型的凤凰(P-Lite)产品,以及真空传输系统龙(Dragon)系列,用于半导体先进制程的晶圆真空传输系统。表9:半导体领域主要产品 产品系列 产品图示 产品说明 设备类型 镀膜工艺 目前应用领域 产业化阶段 凤凰(P)系列原子层沉积镀膜系统 主要用于单片型 12及 8 寸晶圆生产中氧

74、化物、氮化物及金属镀膜工艺 TALD HfO2 工艺 逻辑芯片高 k 栅介质层 产业化应用 HfO2 工艺 存储芯片高 k 栅电容介质层 产业化验证 ZrO2 工艺 La2O3 工艺 TiO2 工艺 存储芯片高 k 栅介质覆盖层 产业化验证 凤凰(P-Lite)轻型原子层沉积镀膜系统 主要用于单片型 8寸、6 寸及以下的第三代化合物半导体、量子器件等氧化物、氮化物及金属镀膜工艺 TALD TiN 工艺 半导体量子器件超导材料导电层 产业化验证 Al2O3 和AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 PEALD Al2O3 和AlN 工艺 第三代化合物半导体钝化层和过渡层 产业

75、化验证 麒麟(QL)系列原子层沉积镀膜系统 用于批量型 12 寸及8 寸晶圆生产中氧化物、氮化物及金属镀膜工艺,单腔体每批次可容纳最多 25 片12 寸(兼容 25 片 8寸晶圆镀膜)TALD Al2O3 和TiO2 工艺 硅基微型显示芯片阻水阻氧保护层 产业化验证 龙(Dragon)系列真空传输系统 用于半导体先进制程的晶圆真空传输系统,该平台系统可有效避免晶圆表面微尘,可实现高产能下的稳定性 真空传输系统-半导体设备晶圆传输平台系统 产业化应用 资料来源:微导纳米招股说明书,民生证券研究院 在半导体领域,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路

76、制造前道生产线的国产设备公司。该 High-k 设备主要用于 28nm 栅介质氧化铪薄膜沉积,打破了国际知名设备大厂的垄断,总体表现和工艺关键性能参数达到国际同类水平,并已获得客户重复订单认可。微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 27 图34:SiO2 与高 K 栅介电层比较 资料来源:原子层沉积技术的应用现状及发展前景,民生证券研究院 从半导体薄膜沉积设备性能指标来看,公司半导体 ALD 设备的设备产能、平均故障间隔时间、平均修复时间、均匀性、薄膜颗粒控制、金属污染控制等多个技术指标已达到国际同类设备水平,反应源的可拓展性

77、、机台稳定运行时间等部分指标数据占有优势,成功填补了一项半导体设备领域的空白。表10:公司半导体 ALD 设备产品关键性能参数与国际同类设备水平比较 产品关键性能参数 国际同类设备水平 微导纳米设备水平 设备产能(片/小时)12 12 反应源(镀膜原材料)2 个(温度可控 RT-200),2 个反应气体源 4 个(温度可控 RT-250),2 个反应气体源 机台稳定运行时间(Uptime)80%85%平均故障间隔时间(MTBF)200 小时 200 小时 平均破片率(MWBB)1100,000 1100,000 平均修复时间(MTTR)6 小时 6 小时 薄膜片内均匀性 1.2%1.2%薄膜片

78、间均匀性 0.5%0.5%薄膜颗粒控制 Adders560nm Adders560nm 金属污染控制 2E10(原子/平方厘米)2E10(原子/平方厘米)资料来源:微导纳米招股说明书,民生证券研究院 除了 High-k 栅介质层之外,微导还有多种 ALD 工艺在研发和验证中,包括Thermal ALD 环节用于存储芯片的高 k 栅电容介质层工艺,用于化合物半导体的钝化层和过滤层工艺,用于半导体量子器件的超导材料导电层工艺,和 PEALD 环节用于化合物半导体的钝化层和过滤层工艺。表11:微导纳米半导体 ALD 设备技术情况 设备类型 镀膜工艺 应用领域 工艺领域 产业化应用情况 TALD Hf

79、02 工艺 逻辑芯片 高 k 栅介质层 28nm 产业化应用 Hf02 工艺 存储芯片 高 k 栅电容介质层 产业化验证 Zr02 工艺 存储芯片 高 k 栅电容介质层(产业化验证 La203 工艺 存储芯片 高 k 栅电容介质层 产业化验证 Ti02 工艺 存储芯片 高 k 栅介质覆盖层 产业化验证 Tin 工艺 半导体量子器件 超导材料导电层 产业化验证 AI2O3 和 AIN 工艺 第三代化合物半导体 钝化层和过滤层 产业化验证 PEALD AI2O3 和 AIN 工艺 第三代化合物半导体 钝化层和过滤层 产业化验证 资料来源:微导纳米招股说明书,民生证券研究院 微导纳米(688147)

80、/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 28 3.2 光伏:受益 TOPCon 扩产高峰,订单旺盛 公司以 ALD 技术为核心,已发展三代光伏设备产品:一代 ALD 设备、二代PECVD/祝融 PEALD/羲和扩散炉、三代 PERC、TOPCon 工艺整线设备。公司在光伏领域持续以 ALD 技术路线为核心,深化发展包括热工艺 ALD 和等离子体工艺PEALD在内的ALD技术,同时兼顾PECVD等其他技术路线,以满足TOPCon、HJT、IBC、钙钛矿等不同电池对不同薄膜工艺设备的需求。光伏领域中ALD/PEALD 新薄膜材料开发活跃、微导创新 ALD/

81、PEALD/PECVD 技术在高效电池技术应用前景广阔、批量型热 ALD 设备的成熟大大降低了生产成本、原子层级薄膜沉积技术适合超薄薄膜应用,所以 ALD 设备在光伏领域应用场景广泛、前景广阔。表12:光伏领域主要产品 产品系列 产品图示 产品说明 设备类型 镀膜工艺 目前应用领域 产业化阶段 夸父(KF)系列 ALD 运用 ALD 技术,对晶硅太阳能电池表面Al2O3 钝化膜进行批量制备 TALD Al2O3 工艺 PERC 电池背面钝化层、TOPCon 电池正面钝化层 产业化应用 夸父(KF)管式 PECVD 运用 PECVD 技术,对晶硅太阳能电池表面 SiNx 薄膜进行批量制备 PEC

82、VD SiNX 工艺 PERC 电池减反层 产业化应用 PECVD SiNX 工艺 TOPCon 电池背面减反层 产业化应用 祝融(ZR)管式 PEALD 集成 PEALD 与PECVD 技术,同一台设备可完成电池Al2O3 膜和 SiNx膜,以及 TOPCon 电池超薄 SiOx 隧穿层和掺杂多晶硅薄膜的制备 PEALD 和PECVD Al2O3 和 SiNX二合一工艺 PERC 电池背面钝化层、减反层 产业化应用 PEALD 和PECVD Al2O3 和 SiNX二合一工艺 TOPCon 电池正面钝化层、减反层 产业化应用 PEALD 和PECVD 隧穿层和掺杂多晶硅层二合一工艺 TOPC

83、on 电池隧穿层、掺杂多晶硅层 产业化应用 羲和(XH)低压扩散炉 采用超高温热场控制技术,可实现磷扩、硼扩、氧化和退火工艺,为 TOPCon 电池生产线设备 炉管设备 非晶硅晶化及掺杂、扩散 TOPCon 电池扩散、退火 产业化应用 资料来源:微导纳米招股说明书,民生证券研究院 夸父(KF)系列原子层沉积系统:运用 ALD 技术,对晶硅太阳能电池表面AL2O3 钝化膜进行批量制备,在光伏领域 PERC 电池中的 AL2O3 工艺和 SiNx工艺、TOPcon 电池正面 AL2O3 均已实现产业化应用。AL2O3 薄膜功能是由于具备较高的负电荷密度,可以对 P 型半导体如 PERC 电池背面和

84、 TOPCon 电池的正面提供良好的场效应钝化,以达到更高的光电转化水平。SiNx 薄膜的功能是微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 29 其依靠化学稳定性,主要用于 PERC 电池背部钝化膜的保护,同时由于其光学特性,还可以实现 PERC 电池正面和背面的减反效果。祝融(ZR)系列 PEALD 二合一沉积系统产品集成了 PEALD 与 PECVD 技术,在 PERC 电池 TOPCon 电池中均已实现产业化应用。在 PERC 电池中,客户可以在同一设备中采用两种不同技术完成对 PERC 电池背面 Al2O3 和 SiNX 的

85、沉积;在 TOPCon 电池中,客户在同一设备中可连续完成对 TOPCon 电池超薄SiOX 隧穿层和掺杂多晶硅薄膜的制备。该产品解决了行业内多项技术瓶颈和难题,并推动了 PECVD 技术路线的大规模量产。羲和(XH)低压扩散炉系统产品采用自主研发的超高温热场控制技术,实现对硅片的掺杂,以及实现兼容磷、硼两种扩散工艺,目前已实现产业化应用。以上三种光伏系列产品中夸父(KF)系列设备在光伏领域 PERC 电池中的 AL2O3工艺和 SiNx 工艺、TOPcon 电池正面 AL2O3 均已实现产业化应用。其中下游客户通过公司的 ALD 设备在电池片表面制备 AL2O3 膜实现钝化效果,已达到更高的

86、光电转化水平 光伏电池片技术路径的迭代为公司的 ALD 设备带来需求增量。2021 年以来,TOPCon 技术路径迎来加速渗透,而钝化技术趋势方面,ALD 亦在逐步取代PECVD 成为主流钝化技术,尤其是 TOPCon 电池正面钝化中几乎以 ALD 技术为主。图35:电池技术趋势 图36:钝化技术趋势 资料来源:微导纳米公开路演资料,民生证券研究院 资料来源:微导纳米公开路演资料,民生证券研究院 PERC 中背面 Al2O3镀膜使用 PECVD 和 ALD 设备镀膜效果差别不大,但在TOPCon 电池正面(具有金字塔结构的绒面)Al2O3 钝化层的制备中,PECVD的生长速率快可能会导致钝化效

87、果略差于 ALD,且 ALD 技术具有优异的保形性且薄膜材料密度一致,因此成为 TOPCon 电池正面 Al2O3 钝化层的主流技术路线。微导纳米 ALD 设备在新型电池产线中具备技术优势。在 TOPCon 电池隧穿层即氧化硅层的沉积工艺中,ALD 技术更具优势。公司开发出了 ZR50002PEALD“二合一”产品,创新性的将 ALD 技术应用于氧化硅层的制备,能够连续完成 TOPCon 电池的背膜结构(隧穿氧化硅/原位掺杂微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 30 多晶硅)镀膜。跟高温热氧化法、等离子体氧化法相比,采用 AL

88、D 技术可以获得超薄(2nm)、大面积均匀性、致密性好、无针孔的氧化硅层;在 PERC 电池背面及 TOPCon 电池正面的氧化铝和氮化硅叠层的制备中,公司开发的PEALD 二合一平台能够在同一台设备中完成两种薄膜的制备,除了能提高薄膜质量以提供更好的钝化效果之外,还有效降低了客户单位产能的设备投资成本。图37:PERC 和 TOPCon 电池的工艺流程及各环节主要设备 资料来源:微导纳米招股说明书,民生证券研究院 除 ALD 外,在其他薄膜环节,公司亦有丰富且完备的产品线,在 SiNx、AIOx 层有夸父(KF)系列原子层沉积系统,在硼扩层有羲和(XH)系列低压工艺系统,以及在 Tunnel

89、SiO2、Poly-Si(n)、SiNx 层有祝融(ZR)系列、夸父(KF-P)系列产品。图38:微导纳米 TOPCon 电池系列产品 资料来源:微导纳米公开路演资料,民生证券研究院 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 31 得益于 TOPCon 的加速渗透,公司订单大幅增长,截至 2022 年 Q3 光伏在手订单约 50%来自 TOPCon,获得了如无锡尚德、通威、晶科能源等多个项目的设备订单。而 XBC 亦贡献了约 35%的在手订单,客户覆盖隆基、爱旭等项目。表13:微导纳米部分已签署的高效光伏电池设备合同 序号 客户名

90、称 合同内容 签署时间 技术路线 1 无锡尚德太阳能电力有限公司 PEALD 二合一设备、PECVD设备、扩散炉、氧化退火炉 2021.4 TOPCon 2 通威太阳能(眉山)有限公司 PEALD 二合一设备、TALD 设备、扩散炉、氧化退火炉 2021.6 TOPCon 3 晶科能源(海宁)有限公司 TALD 设备 2021.11 TOPCon 4 泰州隆基乐叶光伏科技有限公司 TALD 设备 2022.2 HBC 5 滁州捷泰新能源科技有限公司 TALD 设备 2022.2 TOPCon 6 泰州隆基乐叶光伏科技(西咸新区)有限公司 TALD 设备 2022.3 HBC 7 珠海富山爱旭太

91、阳能科技有限公司 TALD 设备 2022.3 N 型高效电池 资料来源:微导纳米招股说明书,民生证券研究院 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 32 4 募投项目分析 微导纳米本次募投项目总额 116543.56 万元,拟使用募集资金金额为 10 亿元,募集资金扣除发行费用后将用于 3 个项目:基于原子层沉积技术的光伏及柔性电子设备扩产升级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集成电路高端装备产业化应用中心项目。基于原子层沉积技术的光伏及柔性电子设备扩产升级项目:基于公司现有ALD 设备产线进行升级扩产,开

92、发适用于光伏、柔性电子的 ALD 设备,新增年产120 台 ALD 设备的生产能力,总投资规模为 2.64 亿元。项目建设期 2 年,利用现有租赁厂房进行改造建设。基于原子层沉积技术的半导体配套设备扩产升级项目:基于公司现有 ALD 设备产线进行升级扩产,开发适用于半导体的 ALD 设备,新增年产 40 套 ALD 设备,总投资规模为 6.33 亿元。项目建设期拟定 3 年,利用现有租赁厂房进行改造建设。集成电路高端装备产业化应用中心项目:设集成电路高端装备产业化应用中心,推动基于 ALD 技术的集成电路高端制造装备产业化应用,总投资规模为 1.18亿元。表14:公司募集资金投资项目情况 序号

93、 项目名称 总投资额(亿元)拟使用募集资金投资额(亿元)1 基于原子层沉积技术的光伏及柔性电子设备扩产升级项目 2.64 2.50 2 基于原子层沉积技术的半导体配套设备扩产升级项目 6.33 5.00 3 集成电路高端装备产业化应用中心项目 1.18 1.00 4 补充流动资金 1.50 1.50 合计 11.65 10.00 资料来源:微导纳米招股说明书,民生证券研究院 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 33 5 盈利预测与投资建议 5.1 盈利预测假设与业务拆分 公司报表将主营业务分为四类:光伏设备,半导体设备,配套

94、产品及服务,其他业务。我们分别作以下预测:光伏设备:受益下游扩产景气度上行,公司 2022 年光伏业务订单大幅增长,我们预计将为 2023 年带来较高的收入增量。预计 2022-2024 年收入同比增长78.0/113.2/41.4%。毛利率方面,公司 2021 年起 PECVD 等新产品放量,初期毛利率较低,预计未来逐步提升,并且高毛利率的 ALD 业务在 2023 年有望大幅增长,占比提升,因此预测 2022-2024 年光伏设备业务毛利率 31.7/39.3/41.3%。半导体设备:2021 年实现首台销售,伴随下游客户验证逐步推进有望逐渐起量,预计 2022-2024 年收入同比增长

95、109.5/57.0/37.2%。毛利率方面,由于2022H1 实现销售的真空传输系统毛利率较低,拉低了该业务 2022 年毛利率,预计未来半导体业务整体毛利率趋于稳定,2022-2024 年分别为 47.5/46.8/47.1%。配套产品及服务:主要包括设备配件和设备改造,2021 年客户产线改造,产生较大的设备改造收入,具有一定偶然性,我们预计未来该业务收入伴随公司业务规模稳步增长,2022-2024 年同比增速分别为-52.8/16.7/14.3%。毛利率方面,早期业务体量较小,毛利率波动较大,未来预计趋于稳定,预计 2022-2024 年分别为 67.0/67.0/67.0%。其他业务

96、:主要为出售废品废料业务,占比较低,预计随总体收入规模扩大而稳定增长,预测 2022-2024 年收入同比增长 40.0/30.0/30.0%。毛利率预计维持在 50%的稳定水平。表15:分业务收入预测(百万元)2020 2021 2022E 2023E 2024E 合计 营收 312.55 427.92 603.43 1198.56 1671.76 YOY 44.8%36.9%41.0%98.6%39.5%毛利率 51.9%45.8%36.6%41.4%43.0%毛利 162.20 195.84 221.08 496.26 718.30 光伏设备 营收 299.17 275.28 490.0

97、5 1044.89 1477.00 YOY 48.1%-8.0%78.0%113.2%41.4%毛利率 50.8%32.9%31.7%39.3%41.3%毛利 152.07 90.45 155.53 410.21 610.65 半导体设备 营收 25.20 52.80 82.92 113.80 YOY 0.0%109.5%57.0%37.2%毛利率 52.2%47.5%46.8%47.1%毛利 13.15 25.06 38.78 53.56 营收 13.31 127.03 60.00 70.00 80.00 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责

98、声明 证券研究报告 34 配套产品及服务 YOY-3.8%854.4%-52.8%16.7%14.3%毛利率 75.6%72.7%67.0%67.0%67.0%毛利 10.06 92.33 40.20 46.90 53.60 其他业务 营收 0.07 0.41 0.57 0.75 0.97 YOY 75.0%485.7%40.0%30.0%30.0%毛利率 100.0%-21.3%50.0%50.0%50.0%毛利 0.07 -0.09 0.29 0.37 0.49 资料来源:Wind,民生证券研究院预测 5.2 费用率预测 销售费用:公司 2020-2021 年销售费用呈下降趋势,主要由于收

99、入增长摊薄费用率。基于收入高速增长的假设,预计未来销售费率将继续摊薄,2022-2024 年销售费用率分别为 7.6/7.5/7.4%。管理费用率:2022 年 Q1-Q3 管理费用率较 2021 年有所增加,主要因为人员规模大幅增长。预计未来伴随收入增长,管理费用率摊薄,2022-2024 年管理费用率分别为 7.0/6.8/6.5%。研发费用率:公司 2021 年研发费用率高达 22.7%,未来有望在保持高投入的基础上有所摊薄,预计 2022-2024 年研发费用率分别为 20.0/18.7/18.0%。财务费用:公司无长期借款,2020-2021 年财务费用主要为短期借款利息支出。考虑

100、IPO 融资获得的现金存款利息增加利息收入,预计 2022-2024 年财务费用率分别为-0.1/-2.0/-1.1%。表16:费用率预测 项目/年度 2020A 2021A 2022E 2023E 2024E 销售费用率 9.3%7.8%7.6%7.5%7.4%管理费用率 6.7%6.0%7.0%6.8%6.5%研发费用率 17.2%22.7%20.0%18.7%18.0%财务费用率 0.6%0.5%-0.1%-2.0%-1.1%资料来源:wind,民生证券研究院预测 5.3 估值分析 公司主业为光伏薄膜设备和半导体薄膜设备,我们选取了同为薄膜设备公司的盛美上海(炉管设备)、拓荆科技-U(A

101、LD 和 PECVD 设备)、中微公司(刻蚀和 LPCVD 设备)作为同行业可比公司,同行业可比公司 2022-2024 年的 PE 均值为 73/52/39 倍,我们预计微导纳米 2022-2024 年 EPS 为 0.07/0.26/0.44 元,对应现价 PE 398/107/63 倍,高于同行业均值。但是考虑到公司公司是国内首家同时量产光伏+半导体 ALD 设备的厂商,具有较高的稀缺性,ALD 设备的较高技术难度也带来竞争壁垒,高估具有合理性。此外,我们预计微导纳米 2022-2024年利润增速将远高于行业平均水平,2023 PEG 为 1.56 倍,于同行业均值接近,微导纳米(688

102、147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 35 因此我们认为公司当前估值处于合理水平。表17:可比公司估值对比 公司 代码 股价 EPS PE PEG(2023)21A 22E 23E 24E 21A 22E 23E 24E 盛美上海 688082.SH 82.69 0.68 1.27 1.65 2.16 122 65 50 38 1.65 拓荆科技-U 688072.SH 205.58 0.72 2.05 3.18 4.63 286 100 65 44 1.41 中微公司 688012.SH 99.45 1.76 1.81 2.33 2.96

103、57 55 43 34 1.59 可比公司均值 155 73 52 39 1.55 微导纳米 688147.SH 28.00 0.10 0.07 0.26 0.44 276 398 107 63 1.56 资料来源:wind,民生证券研究院预测;注:可比公司数据采用 Wind 一致预期,股价时间为 2023 年 1 月 5 日 5.4 投资建议 微导纳米作为国内光伏 ALD 龙头企业,在光伏设备领域持续拓展产品线,在半导体 ALD 领域亦实现突破。我们看好公司的国产替代潜力,考虑到其高增速,估值亦处于合理水平,首次覆盖,给予“推荐”评级。微导纳米(688147)/电子 本公司具备证券投资咨询业

104、务资格,请务必阅读最后一页免责声明 证券研究报告 36 6 风险提示 1)技术迭代风险。如果公司未能准确理解下游客户的产线设备及工艺技术演进需求,或者技术创新产品不能契合客户需求,如无法持续提供满足电池降本增效需求的产品、无法响应新型高效电池(TOPCon、HJT 等)或半导体制造工艺制程继续提高等新的应用需求,可能导致公司设备无法满足下游生产制造商的需要,从而可能对公司的经营业绩造成不利影响。2)下游扩产不及预期的风险。在光伏领域,新型高效电池如 TOPCon、HJT在 2022 年以来扩产计划加速,但因技术成熟度、投资成本等限制性因素,规模化量产尚存在不确定性。在半导体领域,我国在先进制程

105、的设备制造产业起步较晚,目前国内先进产线关键设备的国产化仍处于起步和发展阶段。如果国内新型高效电池和先进制程晶圆制造产线发展不及预期,公司未来销售增长将受到限制。3)新产品验证进度不及预期的风险。公司薄膜沉积设备主要应用于光伏电池片、半导体晶圆的生产环节,直接影响光伏电池片的光电转换效率以及半导体器件性能,是下游客户产线的关键工艺设备。因此,客户对公司新产品的验证要求较高、验证周期较长,公司用于新型高效电池和半导体各细分领域的新产品存在验证进度不及预期的风险。微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 37 公司财务报表数据预测汇总

106、 利润表(百万元)2021A 2022E 2023E 2024E 主要财务指标 2021A 2022E 2023E 2024E 营业总收入 428 603 1,199 1,672 成长能力(%)营业成本 232 382 702 953 营业收入增长率 36.91 41.01 98.63 39.48 营业税金及附加 2 3 6 8 EBIT 增长率-49.70 3.30 275.42 70.75 销售费用 34 46 90 124 净利润增长率-19.12-30.73 273.41 68.29 管理费用 26 42 82 109 盈利能力(%)研发费用 97 121 224 301 毛利率 45

107、.77 36.64 41.40 42.97 EBIT 32 33 124 211 净利润率 10.78 5.29 9.95 12.01 财务费用 2-0-23-19 总资产收益率 ROA 3.40 1.05 3.37 5.06 资产减值损失-13-15-18-21 净资产收益率 ROE 5.22 1.65 5.79 8.89 投资收益 11 14 17 19 偿债能力 营业利润 40 14 112 193 流动比率 2.86 2.66 2.10 1.93 营业外收支 0 2 2 2 速动比率 1.21 1.48 1.02 0.83 利润总额 41 16 114 195 现金比率 0.27 1.

108、12 0.66 0.43 所得税-6-16-6-6 资产负债率(%)34.89 36.15 41.76 43.02 净利润 46 32 119 201 经营效率 归属于母公司净利润 46 32 119 201 应收账款周转天数 68.67 70.00 57.00 55.00 EBITDA 48 56 155 261 存货周转天数 633.78 850.00 600.00 530.00 总资产周转率 0.35 0.27 0.36 0.45 资产负债表(百万元)2021A 2022E 2023E 2024E 每股指标(元)货币资金 120 1,206 954 723 每股收益 0.10 0.07

109、0.26 0.44 应收账款及票据 152 195 302 408 每股净资产 1.94 4.27 4.53 4.97 预付款项 10 15 28 38 每股经营现金流-0.17 0.27 0.18 0.08 存货 403 875 1,136 1,364 每股股利 0.00 0.00 0.00 0.00 其他流动资产 592 564 631 709 估值分析 流动资产合计 1,276 2,856 3,052 3,242 PE 276 398 107 63 长期股权投资 0 0 0 0 PB 14.4 6.6 6.2 5.6 固定资产 36 85 286 520 EV/EBITDA 266.29

110、 206.54 76.13 46.02 无形资产 8 17 26 33 股息收益率(%)0.00 0.00 0.00 0.00 非流动资产合计 81 181 483 722 资产合计 1,357 3,037 3,534 3,964 短期借款 67 17 0 0 现金流量表(百万元)2021A 2022E 2023E 2024E 应付账款及票据 197 576 904 1,071 净利润 46 32 119 201 其他流动负债 183 480 547 610 折旧和摊销 16 23 31 50 流动负债合计 446 1,073 1,451 1,681 营运资金变动-146 73-84-231

111、长期借款 0 0 0 0 经营活动现金流-76 121 84 38 其他长期负债 27 25 25 24 资本开支-23-81-328-284 非流动负债合计 27 25 25 24 投资-495 100 0 0 负债合计 473 1,098 1,476 1,705 投资活动现金流-512 11-311-265 股本 409 454 454 454 股权募资 190 1,023 0 0 少数股东权益 0 0 0 0 债务募资 48-62-20 0 股东权益合计 883 1,939 2,058 2,259 筹资活动现金流 232 954-24-4 负债和股东权益合计 1,357 3,037 3,

112、534 3,964 现金净流量-356 1,086-252-231 资料来源:公司公告、民生证券研究院预测 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 38 插图目录 图 1:微导纳米客户涵盖产业链多家龙头公司.3 图 2:2019-2022 年前三季度微导纳米营收和利润(亿元).4 图 3:2019-2022 年前三季度微导纳米期间费用率水平.4 图 4:微导纳米专用设备营收情况(亿元).5 图 5:微导纳米专用设备毛利率情况.5 图 6:微导纳米合同负债(亿元).6 图 7:微导纳米存货结构(亿元).6 图 8:微导纳米主要历史

113、沿革情况.6 图 9:微导纳米 2021 年前五大客户占比.7 图 10:微导纳米 2022 年 1-6 月前五大客户占比.7 图 11:发行前微导纳米股权结构.8 图 12:2019-2022 前三季度微导纳米研发投入(万元).9 图 13:ALD 技术原理.12 图 14:微导纳米 ALD 技术应用.13 图 15:晶圆厂投资构成.13 图 16:2017-2025 年全球半导体薄膜沉积设备市场规模(亿美元).14 图 17:薄膜沉积设备技术分类.15 图 18:PVD、CVD、ALD 薄膜沉积效果示意图.16 图 19:2021 年半导体薄膜沉积设备占比.16 图 20:喷淋头式热原子层

114、沉积设备工作原理图.17 图 21:CCPPE-ALD 设备原理示意图.17 图 22:SiO2 与 high-k 作为栅极氧化物对比情况.18 图 23:MOS 结构与高 k 栅介电层示意图.18 图 24:ALD 技术在多重曝光中的应用.18 图 25:2D 平面结构、3D 立体结构的卡脖子技术展示.19 图 26:逻辑芯片各制程结点 ALD 应用环节数量(次).19 图 27:存储芯片各类型 ALD 技术应用环节数量(次).19 图 28:2020 年全球 ALD 市场份额.20 图 29:2014-2021 年我国太阳能光伏累计及新增装机容量(GW).21 图 30:2011-2021

115、 全球及我国电池片产量情况.22 图 31:2020-2030 年不同电池技术市场占比的变化趋势.22 图 32:2013-2021 年全球光伏设备行业销售收入.23 图 33:PERC、N-PERT、TOPCon、HJT、XBC 电池结构.24 图 34:SiO2 与高 K 栅介电层比较.27 图 35:电池技术趋势.29 图 36:钝化技术趋势.29 图 37:PERC 和 TOPCon 电池的工艺流程及各环节主要设备.30 图 38:微导纳米 TOPCon 电池系列产品.30 表格目录 盈利预测与财务指标.1 表 1:微导纳米原材料采购情况(万元).5 表 2:微导纳米的代表性核心技术情

116、况.9 表 3:微导纳米研发计划情况.10 表 4:PVD、CVD、ALD 三大技术路线对比.15 表 5:PERC、TOPCon、HJT 电池的对比情况.23 表 6:上市公司项目投资中 TOPCon 产线与 PERC 产线的投资规模情况.24 表 7:光伏领域同行业可比公司.25 表 8:光伏领域客户.25 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 39 表 9:半导体领域主要产品.26 表 10:公司半导体 ALD 设备产品关键性能参数与国际同类设备水平比较.27 表 11:微导纳米半导体 ALD 设备技术情况.27 表 1

117、2:光伏领域主要产品.28 表 13:微导纳米部分已签署的高效光伏电池设备合同.31 表 14:公司募集资金投资项目情况.32 表 15:分业务收入预测(百万元).33 表 16:费用率预测.34 表 17:可比公司估值对比.35 公司财务报表数据预测汇总.37 微导纳米(688147)/电子 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 40 分析师承诺 本报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格并登记为注册分析师,基于认真审慎的工作态度、专业严谨的研究方法与分析逻辑得出研究结论,独立、客观地出具本报告,并对本报告的内容和观点负责。本报告清晰准确

118、地反映了研究人员的研究观点,结论不受任何第三方的授意、影响,研究人员不曾因、不因、也将不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。评级说明 投资建议评级标准 评级 说明 以报告发布日后的 12 个月内公司股价(或行业指数)相对同期基准指数的涨跌幅为基准。其中:A 股以沪深 300 指数为基准;新三板以三板成指或三板做市指数为基准;港股以恒生指数为基准;美股以纳斯达克综合指数或标普500 指数为基准。公司评级 推荐 相对基准指数涨幅 15%以上 谨慎推荐 相对基准指数涨幅 5%15%之间 中性 相对基准指数涨幅-5%5%之间 回避 相对基准指数跌幅 5%以上 行业评级 推荐

119、 相对基准指数涨幅 5%以上 中性 相对基准指数涨幅-5%5%之间 回避 相对基准指数跌幅 5%以上 免责声明 民生证券股份有限公司(以下简称“本公司”)具有中国证监会许可的证券投资咨询业务资格。本公司不会因接收人收到本报告而视其为客户。本报告仅为参考之用,并不构成对客户的投资建议,不应被视为买卖任何证券、金融工具的要约或要约邀请。本报告所包含的观点及建议并未考虑个别客户的特殊状况、目标或需要,客户应当充分考虑自身特定状况,不应单纯依靠本报告所载的内容而取代个人的独立判断。在任何情况下,本公司不对任何人因使用本报告中的任何内容而导致的任何可能的损失负任何责任。本报告是基于已公开信息撰写,但本公

120、司不保证该等信息的准确性或完整性。本报告所载的资料、意见及预测仅反映本公司于发布本报告当日的判断,且预测方法及结果存在一定程度局限性。在不同时期,本公司可发出与本报告所刊载的意见、预测不一致的报告,但本公司没有义务和责任及时更新本报告所涉及的内容并通知客户。在法律允许的情况下,本公司及其附属机构可能持有报告中提及的公司所发行证券的头寸并进行交易,也可能为这些公司提供或正在争取提供投资银行、财务顾问、咨询服务等相关服务,本公司的员工可能担任本报告所提及的公司的董事。客户应充分考虑可能存在的利益冲突,勿将本报告作为投资决策的唯一参考依据。若本公司以外的金融机构发送本报告,则由该金融机构独自为此发送

121、行为负责。该机构的客户应联系该机构以交易本报告提及的证券或要求获悉更详细的信息。本报告不构成本公司向发送本报告金融机构之客户提供的投资建议。本公司不会因任何机构或个人从其他机构获得本报告而将其视为本公司客户。本报告的版权仅归本公司所有,未经书面许可,任何机构或个人不得以任何形式、任何目的进行翻版、转载、发表、篡改或引用。所有在本报告中使用的商标、服务标识及标记,除非另有说明,均为本公司的商标、服务标识及标记。本公司版权所有并保留一切权利。民生证券研究院:上海:上海市浦东新区浦明路 8 号财富金融广场 1 幢 5F;200120 北京:北京市东城区建国门内大街 28 号民生金融中心 A 座 18 层;100005 深圳:广东省深圳市福田区益田路 6001 号太平金融大厦 32 层 05 单元;518026

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(微导纳米-公司深度研究报告:专注ALD设备光伏+半导体双线突破-230107.pdf(40页))为本站 (Coffee) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部