上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

微导纳米-公司深度报告:中国ALD设备龙头半导体光伏两翼齐飞-230216(46页).pdf

编号:115646 PDF 46页 4.31MB 下载积分:VIP专享
下载报告请您先登录!

微导纳米-公司深度报告:中国ALD设备龙头半导体光伏两翼齐飞-230216(46页).pdf

1、证券研究报告|公司深度|专用设备 http:/ 1/46 请务必阅读正文之后的免责条款部分 微导纳米(688147)报告日期:2023 年 02 月 16 日 中国中国 ALD 设备龙头,设备龙头,半导体半导体光伏光伏两翼齐飞两翼齐飞 微导纳米微导纳米深度报告深度报告 投资要点投资要点 中国中国 ALD 设备龙头,技术引领半导体、光伏设备领域设备龙头,技术引领半导体、光伏设备领域 公司以原子层薄膜沉积技术为核心,下游覆盖半导体、光伏、柔性电子三大领域。公司是国内首家成功将 High-k原子层沉积设备应用于 28nm集成电路制造前道量产线的国产设备公司,已在逻辑芯片、先进存储、化合物半导体等多个

2、细分应用领域获得订单。公司首创将 ALD 技术应用于光伏领域,技术覆盖 PERC、TOPCon、xBC、钙钛矿等电池路线,TOPCon 整线工艺订单放量在即。2018-2021 年,公司营收由 0.42 亿元增长至 4.28 亿元,CAGR 高达 117%。半导体设备:半导体设备:预计预计 2024 年我国年我国 ALD 设备市场规模设备市场规模超百超百亿元,国产替代需求广阔亿元,国产替代需求广阔 ALD 设备是芯片微缩的核心动力之一,SEMI预计 2020年-2025 年全球 ALD 设备市场规模年复合增长率达 26.3%,据此测算 2024 年我国 ALD 设备市场规模达百亿元。全球 AL

3、D 设备被国外厂商垄断,国产 ALD 设备市占率低,且美国半导体管制下卡脖子环节得到关注,公司作为国内半导体 ALD 技术领军者享有更多设备验证机会,国产替代需求广阔,半导体 ALD 设备市占率有望加速突破。光伏设备:新型电池路线布局全面,光伏设备:新型电池路线布局全面,技术迭代下受益确定性高技术迭代下受益确定性高 公司电池新技术布局全面,TOPCon、xBC、钙钛矿均有出货,HJT 积极技术布局。TOPCon 领域,公司 ALD 设备市占率 60%+,整线设备加速导入大客户,放量可期。xBC 领域,22年上半年招标中公司 ALD 设备市占率超 75%,客户覆盖隆基、爱旭等 xBC 主要玩家。

4、钙钛矿领域,公司设备已出货欧洲。目前 N 型电池技术步入量产阶段,TOPCon 率先规模放量,预计 2022-2025 年迎 TOPCon 扩产高峰。公司当前受益于 TOPCon 扩产订单快速增长,未来有望受益于新型电池技术迭代,长期空间广阔。在手订单在手订单、人员、人员高增长,募投高增长,募投大幅扩产彰显发展信心大幅扩产彰显发展信心 受益于光伏下游高景气及半导体客户的拓展,公司在手订单高增长。截至 2022年 9月公司在手订单 19.75 亿元,是 2021年末的 2.2 倍。公司积极扩充人员,截至 2022年 6月末员工 808 人,是 2019 年末的 3.1倍,产能得到大幅提升。此次募

5、投项目拟建设 120台/年光伏及柔性电子 ALD 设备及 40 台/年半导体 ALD 设备产能,项目建设期分别为两年、三年,为订单持续增长提供产能支持。盈利预测:盈利预测:预计公司 2022-2024年实现营业收入 6.72、14.07、23.62 亿元,同比增长 57%、109%、68%,CAGR 87%;归属母公司净利润 0.56、1.65、2.50 亿元,同比增长 20%、198%、51%,CAGR 112%。首次覆盖,给予“增持”评级。风险提示:风险提示:国内市场竞争加剧的风险、技术迭代及新产品开发风险、新产品验证进度及市场发展不及预期的风险、美国半导体管制加剧风险 投资评级投资评级:

6、增持增持(首次首次)分析师:邱世梁分析师:邱世梁 执业证书号:S01 分析师:王华君分析师:王华君 执业证书号:S05 研究助理:王一帆研究助理:王一帆 基本数据基本数据 收盘价¥35.42 总市值(百万元)16,096.81 总股本(百万股)454.46 股票走势图股票走势图 相关报告相关报告 财务摘要财务摘要 Table_Forcast(百万元)2021A 2022E 2023E 2024E 营业收入 428 672 1,407 2,362 (+/-)(%)36.91%57.05%109.32%67.92%归母净利润 46 56 165 250

7、(+/-)(%)-19.12%20.40%197.64%51.11%每股收益(元)0.10 0.12 0.36 0.55 P/E 349.07 289.93 97.41 64.46 资料来源:浙商证券研究所 -12%0%12%24%36%48%22/1223/02微导纳米上证指数微导纳米(688147)公司深度 http:/ 2/46 请务必阅读正文之后的免责条款部分 投资案件投资案件 盈利预测、估值与目标价、评级盈利预测、估值与目标价、评级 预计公司 2022-2024 年实现营业收入 6.72、14.07、23.62 亿元,同比增长 57%、109%、68%;归属母公司净利润 0.56、1

8、.65、2.50 亿元,同比增长 20%、198%、51%。对应 2 月 16 日收盘价,22-24 年 PS 分别为 24.0、11.4、6.8,PE 为 289.9、97.4、64.5。预计公司 2022-2024 年营业收入和归母净利润复合增长率分别为87%、112%,规模利润均迎来高速增长,远期成长空间广阔。首次覆盖,给予“增持”评级。关键假设关键假设 1)SEMI 预计,2020-2025 年全球半导体 ALD 设备年复合增速达 26.3%。2)我国电池片产量从 2021 年的 198GW 增长至 2025 年的 679GW,电池片产能从361GW 增长至 1132GW。TOPCon

9、 市占率从 2021 年的 4%提升至 2025 年的 40%,设备投资额从 2021 年的 2.2 亿,下降至 2025 年的 1.8 亿元。我们与市场的观点的差异我们与市场的观点的差异 1)市场担心美国半导体管制下 ALD设备市场空间小,天花板低。我们认为,ALD技术是芯片微缩的核心推动力之一,技术难度大、壁垒高。随着制程缩小和芯片结构复杂化,全球半导体 ALD 市场快速增长,SEMI 预计 2020-2025 年 ALD 设备复合增长率达 26.3%。从国内市场来看,美国管制加速了 ALD 设备国产化进程,本土客户导入国产设备的意愿增强,公司理论可达 ALD 设备市场空间实际是扩容的。且

10、目前ALD设备国产化率几乎为0,短期看国产化率增速高,长期看随着国内半导体技术对美国封锁实现突破,半导体 ALD 设备市场空间将不断增长。2)公司光伏 ALD设备市占率已达 60%,市场担心公司光伏设备订单增速放缓。我们认为公司今明两年光伏订单将快速增长,一方面是 TOPCon 行业扩产带来公司优势设备 ALD 订单高增长,另一方面是公司 TOPCon 整线设备、PE-Poly设备市占率逐步提升带来大量订单。1 月公司公告 PE-poly 设备已获得通威批量订单,可见公司 PEALD 设备导入客户顺利。此外若 xBC 扩产持续,将进一步提升公司订单预期。股价上涨的催化因素股价上涨的催化因素 1

11、)光伏领域催化因素主要包括:光伏行业扩产超预期,订单超预期,PE-poly 设备市占率提升,xBC 电池技术突破,HJT 设备研发推进,钙钛矿设备继续出货等。2)半导体领域催化因素主要包括:设备导入客户验证,设备验证顺利,获得客户重复订单,半导体行业周期反转行业资本支出增加,新产品研发等。3)其他催化因素:ALD 设备扩展到更多应用领域,如锂电池、催化领域等。风险提示风险提示 国内市场竞争加剧的风险、技术迭代及新产品开发风险、新产品验证进度及市场发展不及预期的风险、美国半导体管制加剧风险 nXhUtVsUhZdU8XaXuZcV8ObP9PsQmMtRpMkPqQoMjMnMyRbRpOnQw

12、MtPtNMYnNxP微导纳米(688147)公司深度 http:/ 3/46 请务必阅读正文之后的免责条款部分 正文目录正文目录 1 微导纳米:深耕原子层沉积技术,半导体、光伏领域双突破微导纳米:深耕原子层沉积技术,半导体、光伏领域双突破.6 1.1 以原子层沉积技术为核心,产品覆盖三大领域.6 1.2 光伏设备贡献主要营收,半导体业务逐步放量.8 1.3 研发团队实力强劲,布局新产业应用未来可期.10 1.4 收入规模快速增长,在手订单充足业绩高增可期.12 1.5 募投加码 ALD 设备,未来两年产能大幅提升.14 2 ALD 技术应用空间广阔,半导体、光伏等行业发展带来新机技术应用空间

13、广阔,半导体、光伏等行业发展带来新机遇遇.15 2.1 ALD 技术可精准镀膜,技术延展性强应用领域广泛.15 2.1.1 ALD 可精准控制薄膜厚度,具备良好的三维共形性、均匀性.15 2.1.2 ALD 技术延展性强,未来有望在多领域应用.16 2.2 半导体设备:国产替代正当时,ALD 设备空间打开.18 2.2.1 我国是全球最大半导体设备市场,晶圆厂逆周期扩产带来设备增量.18 2.2.2 薄膜沉积设备:晶圆制造主设备之一,2020-2025 年全球市场规模 CAGR 15%.19 2.2.3 ALD 设备:芯片微缩的关键推动者,预计 2024 年我国 ALD 设备市场超百亿.22

14、2.3 光伏设备:N型电池时代来临,新型电池技术迎产业化新机遇.26 2.3.1 光伏行业景气度高,N型电池技术拐点已至.26 2.3.2 TOPCon:新一代光伏电池技术,2022 年规模化量产起步.28 2.3.3 钙钛矿:原子层沉积可用于钙钛矿电池的制备和封装,产业化渐行渐近.32 3 竞争优势:竞争优势:ALD 技术优势打开市场,推动技术平台化发展技术优势打开市场,推动技术平台化发展.34 3.1 半导体:ALD 技术引领者,突破卡脖子技术.34 3.2 光伏:光伏技术布局全面,订单有望高速增长.36 3.3 依托 ALD 技术延展性,拓展新应用领域未来可期.37 4 盈利预测与估值盈

15、利预测与估值.39 4.1 盈利预测:预计公司 2022-2024年归母净利润复合增速 112%.39 4.2 投资建议:看好公司半导体和光伏业务高增长,首次覆盖给予“增持”评级.43 5 风险提示风险提示.44 微导纳米(688147)公司深度 http:/ 4/46 请务必阅读正文之后的免责条款部分 图表目录图表目录 图 1:微导纳米发展历程.6 图 2:公司股权结构图(截至 2022 年 12月 22日).6 图 3:半导体领域主要产品.7 图 4:光伏领域主要产品.8 图 5:柔性电子领域主要产品.8 图 6:光伏设备为主要营收来源,半导体设备已实现营收突破.9 图 7:2021 年产

16、品配套及服务营收占比增长快.9 图 8:公司产品线不断拓宽,营收来源逐步拓展(单位:万元).9 图 9:公司 2022 年 1-6月前五大客户占比.10 图 10:公司 2021 年前五大客户占比.10 图 11:公司光伏领域客户资源丰富.10 图 12:2022 年前三季度公司研发费用占比 24%.12 图 13:2022 年上半年公司各业务领域研发费用投入占比.12 图 14:2018-2021年公司营收复合增长率 117%.13 图 15:2022 年前三季度归母净利润-325.5 万元.13 图 16:2021 年公司毛利率 45.8%.13 图 17:2022 年 1-9月公司期间费

17、用率 39.9%.13 图 18:2022 三季度末合同负债大幅增长至 4.4亿元.14 图 19:2022 三季度末公司存货高达 7.6亿元.14 图 20:2022 年三季度末,公司在手订单大幅增长至 19.75 亿元.14 图 21:2022 年三季度末专用设备在手订单占比 94.2%.14 图 22:自限制生长是 ALD 技术的核心特性.15 图 23:ALD 在集成电路领域的应用.17 图 24:ALD 在非集成电路领域的应用市场空间不断增长.17 图 25:2020 年非集成电路市场全球 ALD 市场份额.18 图 26:2021 年全球半导体设备销售额 1026 亿美元,yoy+

18、44%.18 图 27:2021 年中国大陆半导体设备销售额 296亿美元,yoy+58%.18 图 28:2021-2022年中国大陆 12 英寸晶圆厂月产能(万片).19 图 29:2017-2026年中国大陆地区 12英寸厂增量预测(座).19 图 30:晶圆制造三大核心工艺及国内外主要设备公司.19 图 31:薄膜沉积设备是晶圆制造三大主设备之一,种类繁多.20 图 32:薄膜沉积设备技术分类.20 图 33:2019 年全球薄膜沉积设备市场占有率.21 图 34:全球主要薄膜沉积设备公司.22 图 35:全球半导体薄膜沉积设备市场规模(亿美元).22 图 36:45nm及以下制程中

19、ALD 应用环节增加.23 图 37:MOS结构和 SiO2、高 k栅介电层比较.24 图 38:3D NAND 采用复杂的高深宽比结构,ALD 技术可实现 3D NAND 字线的钨沉积.24 图 39:不同制程下的晶体管结构.25 图 40:ALD 技术在多重曝光中助力实现更先进的制程.25 图 41:CPIA预计 2030 年全球光伏装机需求 315-366GW.27 图 42:CPIA预计 2030 年我国光伏装机需求 105-128GW.27 微导纳米(688147)公司深度 http:/ 5/46 请务必阅读正文之后的免责条款部分 图 43:2020-2030年不同电池技术市场占比的

20、变化趋势.27 图 44:晶硅电池发展路线.27 图 45:公司光伏设备为光伏产业链中游电池片制造设备.28 图 46:TOPCon 电池结构.28 图 47:TOPCon 具有最接近晶硅太阳能电池的极限效率.29 图 48:TOPCon 技术路线多样,可基于 PERC 产线进行升级.32 图 49:钙钛矿太阳能电池结构及发电原理.33 图 50:钙钛矿-硅异质结叠层电池结构图.33 图 51:钙钛矿-TOPCon 叠层电池转换效率世界纪录 28.2%.33 图 52:钙钛矿不稳定的原因.33 图 53:钙钛矿太阳能电池稳定性的进展.33 图 54:常见钙钛矿电池结构.34 图 55:微导 T

21、OPCon 方案仅 9 步.37 表 1:高管及核心技术人员产业经验丰富,深度参与公司研发.11 表 2:公司积极投入研发布局半导体、光伏等领域.12 表 3:募投项目:加码基于 ALD 技术的光伏、柔性电子、半导体设备扩产升级等项目.15 表 4:ALD 工艺特点:良好的三维共形性、均匀性、精确控制.16 表 5:ALD 技术应用领域广阔.16 表 6:ALD 更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用.21 表 7:长江存储产能为 2万片/月的 3DNAND 产线(96层)中需要的各类薄膜沉积设备数量.23 表 8:SEMI预计 2020-2025 年 ALD 设备市场空间增

22、速超越其他 IC 关键设备,CAGR 达 26.3%.26 表 9:预计 2024 年我国 ALD 设备市场规模超百亿元人民币.26 表 10:光伏电池技术对比.29 表 11:TOPCon 成本已基本和 PERC打平.30 表 12:据不完全统计,TOPCon 及新型高效电池已投产、在建及规划产能达 310GW.31 表 13:预计 TOPCon 2022-2025 年市场空间超 842亿元.31 表 14:国内设备公司 ALD 布局.35 表 15:公司半导体 ALD 设备产品关键性能参数已达到国际同类设备水平.35 表 16:公司已签约半导体设备及订单(截至 2022 年 6 月末).3

23、6 表 17:公司部分已签署的高效光伏电池设备合同.37 表 18:公司技术先进性水平及具体体现.38 表 19:公司在研项目涉及多个应用领域.39 表 20:关键假设表(单位:百万元).42 表 21:可比公司比较.43 表 22:可比公司估值(截至 2023 年 2月 16 日).43 表附录:三大报表预测值.45 微导纳米(688147)公司深度 http:/ 6/46 请务必阅读正文之后的免责条款部分 1 微导纳米:深耕原子层沉积技术,微导纳米:深耕原子层沉积技术,半导体、光伏领域双突破半导体、光伏领域双突破 1.1 以原子层沉积技术为核心,产品覆盖三大领域以原子层沉积技术为核心,产品

24、覆盖三大领域 以原子层沉积以原子层沉积技术技术为核心为核心,产品产品应用领域应用领域不断拓展不断拓展。微导纳米成立于 2015 年 12 月,主要从事先进微米级、纳米级薄膜沉积设备的研发、生产和销售。公司起家于光伏设备,逐步实现半导体、柔性电子设备领域突破:在光伏设备领域,公司突破 ALD 沉积速度慢的桎梏,全球首创将 ALD 技术规模化应用于光伏领域,在 PERC、TOPCon、xBC、钙钛矿领域均实现出货;在半导体领域,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm节点集成电路制造前道生产线的国产设备公司,产品可应用于逻辑、存储、化合物半导体、先进显示等领域;在柔

25、性电子领域,自主研发的卷对卷柔性电子设备已实现产业化应用。图1:微导纳米发展历程 资料来源:公司招股书,公司官网,浙商证券研究所 公司实际控制人为王燕清家族,核心技术人员公司实际控制人为王燕清家族,核心技术人员及员工持股绑定公司发展及员工持股绑定公司发展。王燕清、倪亚兰、王磊组成的家族间接控制公司 60.60%的股份,系公司实际控制人,王燕清、倪亚兰系夫妻关系,王磊系王燕清、倪亚兰之子。同时王磊担任公司董事长、倪亚兰担任公司董事。公司创始人兼核心技术人员 LI WEI MING、LI XIANG 持股合计 13.86%,持股比例较高。聚海盈管理为公司员工持股平台,管理合伙人共 26 名,合计持

26、有公司 8.32%股权。公司高管与核心人员专项资产管理计划(微导 1号、微导 2 号)合计持股 0.88%。图2:公司股权结构图(截至 2022年 12月 22日)资料来源:公司上市公告书,浙商证券研究所 微导纳米(688147)公司深度 http:/ 7/46 请务必阅读正文之后的免责条款部分 公司产品主要包括半导体设备、光伏设备和柔性电子设备三大类。半导体设备:半导体设备:公司半导体 ALD 设备可应用于逻辑、存储、化合物半导体、新型显示等领域。凤凰(P)系列原子层沉积镀膜系统 HfO2 工艺已在 28nm量产线实现产业化应用,并取得客户重复订单。ALD 设备沉积的 HfO2、ZrO2、L

27、a2O3 以及互相掺杂沉积工艺可用于新型存储器(如铁电存储 FeRAM)芯片的电容介质层,沉积的 Al2O3、TiN、AlN 可用于化合物半导体、量子器件的超导材料导电层等,上述应用均已完成客户的试样测试并签署订单。图3:半导体领域主要产品 资料来源:招股说明书,浙商证券研究所(注:产业化应用是指已实现销售,产业化验证是指已签署合同并正在履行,下同)光伏设备光伏设备:公司光伏设备产品包括 ALD、PECVD、PEALD 二合一设备和扩散炉系统,可应用于新型高效电池制造,并可提供 TOPCon 整线解决方案。夸父(KF)ALD 系列主要用于氧化铝薄膜的沉积(PERC 背面和 TOPCon 正面均

28、需沉积氧化铝薄膜);夸父(KF)PECVD 系列主要用于氮化硅薄膜的沉积(PERC 和 TOPCon减反层);祝融(ZR)PEALD 系列集成了 PEALD 和 PECVD 技术,同一台设备可完成于氧化铝、氮化硅镀膜以及 TOPCon 电池隧穿层、掺杂多晶硅薄膜沉积;羲和(XH)系统可用于 TOPCon 中磷扩、硼扩、氧化和退火工艺。微导纳米(688147)公司深度 http:/ 8/46 请务必阅读正文之后的免责条款部分 图4:光伏领域主要产品 资料来源:招股说明书,浙商证券研究所 柔性电子设备柔性电子设备:FlexGuard(FG)系列卷对卷原子层沉积镀膜系统,主要在 OLED 等先进显示

29、技术的柔性电子材料上进行真空镀膜。公司 ALD 设备可实现在大幅宽材料表面沉积高性能阻水阻氧层,有效保护 OLED 器件性能和寿命。该设备已通过客户验证并获得客户重复订单。图5:柔性电子领域主要产品 资料来源:招股说明书,浙商证券研究所 1.2 光伏设备贡献主要营收,光伏设备贡献主要营收,半导体业务逐步放量半导体业务逐步放量 公司营业收入主要来源于光伏设备,产线拓宽公司营业收入主要来源于光伏设备,产线拓宽带来营收带来营收多样化多样化。1)分产品来看,光伏设备为公司近年来贡献主要营收,2018-2022H1收入占比分别为 93%、94%、96%、64%和 92%。光伏设备从 ALD 设备拓展至

30、PECVD、PEALD 二合一平台设备,产品线不断丰富。2)半导体设备已实现营收突破,2021年、2022H1 收入占比分别为 6%、3%,半导体High-k介质层镀膜设备、真空传输系统已获客户验证并实现营收。3)2021年配套产品及服务收入增长较快,主要原因是光伏电池硅片大尺寸化、公司臭氧工艺的推广及新工艺的开发应用带动配套产品及服务业务收入大幅提升。微导纳米(688147)公司深度 http:/ 9/46 请务必阅读正文之后的免责条款部分 图6:光伏设备为主要营收来源,半导体设备已实现营收突破 图7:2021年产品配套及服务营收占比增长快 资料来源:招股说明书,浙商证券研究所 资料来源:招

31、股说明书,浙商证券研究所 图8:公司产品线不断拓宽,营收来源逐步拓展(单位:万元)资料来源:招股说明书,浙商证券研究所 公司公司客户集中度较高,客户集中度较高,通威为近三年第一大客户通威为近三年第一大客户。20192022H1,公司前五大客户销售额分别为 13,222 万元、29,893 万元、35,988 万元、14,435 万元,占比 61%、96%、84%、93%,其中 2019-2021 年通威太阳能为公司第一大客户,占比 21%、63%、28%。公司在光伏行业实现了较高的客户覆盖率,并积极拓展半导体行业和柔性电子领域客户。64%6%30%光伏设备半导体设备产品配套及服务微导纳米(68

32、8147)公司深度 http:/ 10/46 请务必阅读正文之后的免责条款部分 图9:公司 2022年 1-6月前五大客户占比 图10:公司 2021年前五大客户占比 资料来源:招股说明书,浙商证券研究所(注:“龙恒新能源”包括江苏龙恒新能源有限公司、徐州中辉光伏科技有限公司、江苏华恒新能源有限公司,三家系同一实际控制人控制的公司;“阿特斯”包括阜宁阿特斯阳光电力科技有限公司、阿特斯阳光电力(泰国)有限公司,两家系同一实际控制人控制的公司;“顺风太阳能”包括常州顺风太阳能科技有限公司、江苏顺风新能源科技有限公司,系同一实际控制人控制的公司;“爱旭科技”包括浙江爱旭太阳能科技有限公司、广东爱旭科

33、技有限公司,系同一实际控制人控制的公司。右同。)资料来源:招股说明书,浙商证券研究所(注:“通威太阳能”包括通威太阳能(成都)有限公司、通威太阳能(安徽)有限公司,两家系同一实际控制人控制的公司)光伏客户光伏客户资源丰富,优势有望延续资源丰富,优势有望延续至新型高效光伏电池技术至新型高效光伏电池技术。公司凭借 ALD 设备的先进性,获得通威、隆基、晶科、晶澳、润阳、爱旭、天合光能等多个客户订单。基于良好的客户基础,公司在新型高效电池领域客户拓展顺利,截至 2022年 6月,公司已签署TOPCon 等相关新型高效电池订单近 50GW。根据公司 2022 年 1-6 月参与招投标项目统计,在已开标

34、的 TOPCon 和背接触电池(基于 IBC 的电池结构)产线中,公司 ALD 设备中标的产线规模占比均达到 75%。HJT 电池方面,公司正在研发采用 ALD 技术实现 TCO薄膜的制备,也在依托 ALD 技术开发沉积新型 TCO 薄膜的设备,例如采用 AZO(ZnO:Al)等资源储量丰富的薄膜材料制备 TCO 薄膜,以改善目前 HJT 电池的生产技术、降低 HJT 电池片生产成本。图11:公司光伏领域客户资源丰富 资料来源:招股说明书,公司公告,浙商证券研究所 1.3 研发团队实力强劲,布局新产业应用未来可期研发团队实力强劲,布局新产业应用未来可期 高管团队产业经验丰富,核心技术团队引领公

35、司研发。高管团队产业经验丰富,核心技术团队引领公司研发。总经理 ZHOU REN 曾任职于Novellus、LAM、KLA等顶级国际半导体公司及中微、拓荆等国内半导体设备公司,管理经验丰富。副董事长兼首席技术官 LI WEI MIN具有 25余年原子层沉积技术研发经验,为微导纳米(688147)公司深度 http:/ 11/46 请务必阅读正文之后的免责条款部分 最早研究 ALD 技术华人之一,先后任职芬兰 ASM、Silecs、Picosun 等知名国际半导体公司。董事、副总经理 LI XIANG 拥有 10余年半导体器件制造和工艺研发经验,曾就职于新加坡 IME、Picosun、GF。副总

36、经理胡彬曾任先导智能工程副总经理,光伏行业经验丰富。除 LI WEI MIN、LI XIANG 外,公司核心技术团队中许所昌曾任职中芯国际,半导体产业经验丰富;吴兴华曾任职台湾工研院、昱晶能源、中来光电等公司,光伏产业经验丰富。表1:高管及核心技术人员产业经验丰富,深度参与公司研发 姓名姓名 职务职务 学历学历 研究经历、科研成果及荣誉研究经历、科研成果及荣誉 工作履历工作履历 ZHOU REN 总经理 美国丹佛大学计算机专业硕士 拥有 30余年半导体设备研发和制造经验,国内外顶级半导体设备公司技术高管,负责多家半导体企业技术和运营管理。中国专利奖获得者,沈阳市高层次杰出人才和玫瑰奖得主,科技

37、部、上海市等科技专家。1989年至 2006年,先后任 AG Associates 软件资深工程师、Novellus System软件主任工程师、CVC Inc系统控制部经理、Lam工程资深总监及并历任资深软件经理,软件总监;2006年至中微半导体执行总监及资深总监、KLA Tencor 工程资深总监、光达光电工程副总、拓荆科技工程副总;2020年至 2021年历任公司半导体事业部副总、首席运营长,2021年 7月起任公司总经理。LI WEI MIN*副董事长、首席技术官 芬兰赫尔辛基大学无机化学专业博士 微导创始人,25余年原子层沉积技术的研发经验,最早开始研究 ALD技术的华人之一;承担国

38、内外政府科技项目 8项,获 2021年国家级人才、2020年江苏省“双创团队”带头人、2019年无锡市太湖创新领军型团队带头人、2016年江苏省“双创人才”等荣誉,江苏省产业教授、SEMI光伏标委会核心委员、第三代半导体人才发展委员会委员。2000年至 2015年历任芬兰 ASM 高级工程师、Silecs应用经理、Picosun应用总监;2016年至 2019年任微导有限首席技术官;2019年至今任公司首席技术官并历任公司董事、副董事长。LI XIANG*董事、副总经理 新加坡南洋理工大学电气与电子工程专业博士 微导创始人,拥有 10余年半导体器件制造和工艺研发经验,具有丰富的原子层沉积 AL

39、D工艺技术研发和量产导入经验;国内外核心期刊发表论文35篇;获 2020年江苏省“双创团队”核心成员、2019年无锡市太湖创新领军型团队核心成员、2018年江苏省“双创人才”、2018 年无锡市太湖创新领军人才、2016年江苏省“双创博士”。2010年至 2015年,历任新加坡科学技术研究院微电子研究所研发科学家、Picosun Asia董事总经理、新加坡格罗方德半导体主任工程师;2016年至 2019年,任微导有限应用总监、ALD事业部副总经理、研发部副总经理、联席首席技术官;2019 年至今任公司董事、副总经理。胡彬 副总经理 东南大学机械设计制造及其自动化专业本科 国家青年机械设计一等奖

40、获得者,曾任先导智能工程副总经理,具有丰富的非标自动化设备的设计经验,光伏领域深耕多年,行业经验丰富。2005年至 2009年历任富士胶片工程师、华进科技(江苏)制程工程师、铁姆肯(无锡)工装工程师、圣本科技研发部主管;2009年至2018年历任无锡先导自动化机械工程师及机械研发部副经理、先导智能副总;2018年至 2019年任微导有限常务副总;2019年至2021年,任公司总经理;2021年 7 月至今,任公司副总经理、光伏事业部总经理。许所昌*半导体事业部工艺副总监 中国科学院大连化学物理研究所物理化学专业博士 多年半导体行业薄膜工艺研发经历,主导公司首台用于逻辑芯片 28nm HfO2

41、栅氧原子层沉积工艺开发并通过客户产线验收。2021年江苏省“双创人才”、2021年无锡市“太湖人才计划”创新领军人才、2020年江苏省“双创团队”核心成员、2019年江苏省“双创博士”。2016年至 2018年,任中芯国际研发工程师;2018年至 2019年任微导有限研发主管;2019年 12月至今,历任公司研发主管、研发经理、工艺副总监。吴兴华*光伏事业部副总经理 中山大学物理专业硕士 拥有 15年以上高效率太阳能电池设备与高效电池技术研发经验,曾任中国台湾工业技术研究院高级工程师,长期致力于高效率电池技术开发与产业化研究,在 N型高效电池制造领域积累了丰富的经验;发表论文 6篇;荣获工研院

42、杰出金牌研究奖。2007年至 2012年,任中国台湾工业技术研究院工程师;2012年至 2019年,任昱晶能源副经理、中来光电研发经理及生产厂长;2019年 12月至今,任公司光伏事业部副总经理。资料来源:招股说明书,浙商证券研究所(注:带*为公司核心技术人员)研发团队专业储备深厚,产线验证经验丰富。研发团队专业储备深厚,产线验证经验丰富。自成立以来,公司以海内外专家为核心,积极引入和培养一批经验丰富的电气、工艺、机械、软件等领域工程师,形成了跨专业、多层次的人才梯队,不断助力下游应用领域关键产品和技术的攻关与突破。截至 2022年 6月 30日,公司研发人员共有 206名,占公司员工总数的

43、25.5%。截至 2022 年 11月,微导纳米(688147)公司深度 http:/ 12/46 请务必阅读正文之后的免责条款部分 公司已取得 97项国家授权专利,其中发明专利 14项、实用新型专利 74 项、外观设计专利9 项,软件著作权 19项。持续高研发投入提升核心竞争力持续高研发投入提升核心竞争力,加大新技术布局加大新技术布局。公司成立以来,不断加强研发投入,2019-2022 年前三季度研发费用 3109/5373/9704/9355万元,研发费用率14%/17%/23%/24%。公司高度重视半导体及光伏技术研发,积极布局柔性电子、新能源电池等新技术,2022 年 1-6 月半导体

44、领域研发投入占比为 55%,在研项目包括半导体制造ALD 设备平台、尖端存储器件 ALD 设备工艺及研发、先进化合物半导体及微机电关键工艺研发等;光伏领域研发投入占比 35%,主要投向 TOPCon、xBC、叠层电池等光伏新技术。图12:2022年前三季度公司研发费用占比 24%图13:2022年上半年公司各业务领域研发费用投入占比 资料来源:Wind,浙商证券研究所 资料来源:招股说明书,浙商证券研究所 表2:公司积极投入研发布局半导体、光伏等领域 研发费用率 公司名称 2019 2020 2021 光伏设备 捷佳伟创 4.85%4.73%4.71%迈为股份 6.56%7.26%10.71%

45、金辰股份 8.85%6.81%8.07%平均值平均值 6.75%6.75%6.27%6.27%7.83%7.83%半导体设备 北方华创 28.03%26.56%29.87%中微公司 21.81%28.14%23.42%拓荆科技 29.58%28.19%38.04%平均值平均值 26.47%26.47%27.63%27.63%30.44%30.44%微导纳米微导纳米 14.41%14.41%17.19%17.19%22.68%22.68%资料来源:Wind,浙商证券研究所(北方华创、中微公司存在研发费用资本化的情况,图中数据为总研发投入(费用化研发投入+资本化研发投入)占营业收入比例)1.4 收

46、入规模快速增长,在手订单收入规模快速增长,在手订单充足充足业绩业绩高增高增可期可期 受益于下游扩产受益于下游扩产及产品线拓宽及产品线拓宽,公司营收快速增长。,公司营收快速增长。2018-2021 年,公司营收由 4191万元增长至 4.28亿元,年化复合增长率 117%。2022 年前三季度,公司实现营收 3.85亿元,同比增长 66.8%,主要系公司电池设备销售收入取得增长、首批应用于光伏 TOPCon电池的专用设备取得客户验收,并在柔性电子领域实现 ALD 设备销售。随着公司光伏、半导体、柔性电子等更多产品在客户端取得验证,公司收入有望继续增长。2018-2022 前三季度,公司归母净利润

47、分别为-2827、5455、5701、4611、-325万元,利润水平有所波动。2022 年前三季度净利润下降主要系公司收入结构变化导致主营业务毛利率有所波动,且设备产品验收周期长,导致收入确认与因订单增加而相应增加的管理、55%35%7%2%半导体光伏柔性电子新能源微导纳米(688147)公司深度 http:/ 13/46 请务必阅读正文之后的免责条款部分 销售费用周期不一致,以及公司持续加大研发投入,导致研发费用增加所致。预计随着公司营收增长及规模效应下费用率下降,公司利润有望修复。图14:2018-2021年公司营收复合增长率 117%图15:2022年前三季度归母净利润-325.5万元

48、 资料来源:Wind,浙商证券研究所 资料来源:Wind,浙商证券研究所 毛利端:毛利端:产品线逐步稳定产品线逐步稳定,预计未来毛利率稳中有升。,预计未来毛利率稳中有升。2019-2022 前三季度,公司业务毛利率分别为 54.0%、51.9%、45.8%、37.1%。2021 年公司主营业务毛利率同比所下降,主要系夸父(KF)管式 PECVD 系统、祝融(ZR)管式 PEALD 系统于 PERC 技术路线的应用在市场上已存在成熟的竞争方案,参考市场水平定价,毛利率偏低。2022 年 1-9月,公司主营业务毛利率较 2021 年有所下降,主要系公司毛利率较高的配套产品及服务(主要为设备改造业务

49、收入)占比由 2021 年的 29.71%下降至 3.62%,从而导致主营业务毛利率较上年有所下降。费用费用端:高研发费用端:高研发费用导致期间费导致期间费用率较高。用率较高。2022 年 1-9月公司期间费用率 39.9%,主要是公司在 TOPCon、xBC 等光伏新型高效电池和半导体各细分领域的产品、技术方面持续加强研发,因此扩充了管理、销售、研发等人员,导致期间费用较上年度同期大幅增长。未来随着营收的增长,规模效应下公司期间费用率预计下降,盈利能力有望提升。图16:2021年公司毛利率 45.8%图17:2022年 1-9月公司期间费用率 39.9%资料来源:Wind,浙商证券研究所 资

50、料来源:Wind,浙商证券研究所 合同负债及存货高增长,在手订单充足业绩成长性高。合同负债及存货高增长,在手订单充足业绩成长性高。2022 年 9月末,公司合同负债和存货分别达到 4.4亿元和 7.6 亿元,较 2021 年末增长 3.2 亿元和 3.6 亿元。公司主要产品在光伏、半导体、柔性电子三大应用领域均实现了产业化应用,在手订单充足。截至 2022年 9月末,公司在手订单 19.75 亿元,其中专用设备在手订单合计 18.56 亿元,设备改造业务在手订单合计 1.15 亿元。微导纳米(688147)公司深度 http:/ 14/46 请务必阅读正文之后的免责条款部分 图18:2022三

51、季度末合同负债大幅增长至 4.4亿元 图19:2022三季度末公司存货高达 7.6 亿元 资料来源:Wind,公司财报,浙商证券研究所 资料来源:Wind,公司财报,浙商证券研究所 图20:2022年三季度末,公司在手订单大幅增长至 19.75亿元 图21:2022年三季度末专用设备在手订单占比 94.2%资料来源:公司公告,浙商证券研究所 资料来源:招股说明书,浙商证券研究所 1.5 募投募投加码加码 ALD 设备设备,未来两年产能大幅提升未来两年产能大幅提升 根据招股说明书,本次募投项目为基于原子层沉积技术的光伏及柔性电子设备扩产升级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集

52、成电路高端装备产业化应用中心项目及补充流动资金。募集资金 10亿元,均投向科技创新领域。项目一:项目一:基于原子层沉积技术的基于原子层沉积技术的光伏及柔性电子设备扩产升级项目光伏及柔性电子设备扩产升级项目。基于公司现有ALD 设备产线进行升级扩产,开发适用于光伏、柔性电子的 ALD 设备,新增年产 120台ALD 设备的生产能力,总投资规模为 26,421.02 万元。项目建设期 2年,利用现有租赁厂房进行改造建设。项目二:项目二:基于原子层沉积技术的半导体配套设备扩产升级项目基于原子层沉积技术的半导体配套设备扩产升级项目。基于公司现有 ALD设备产线进行升级扩产,开发适用于半导体的 ALD

53、设备,新增年产 40套 ALD 设备,总投资规模为 63,310.80 万元。项目建设期拟定 3年,利用现有租赁厂房进行改造建设。项目三:项目三:集成电路高端装备产业化应用中心项集成电路高端装备产业化应用中心项目目。设立集成电路高端装备产业化应用中心,推动基于 ALD 技术的集成电路高端制造装备产业化应用,总投资规模为 11,811.74万元。67.928.7816.2919.75059202020212022Q22022Q3期末在手订单(亿元)ALD设备,80.7%其它专用设备,13.5%设备改造业务,5.8%微导纳米(688147)公司深度 http:/ 15/46

54、请务必阅读正文之后的免责条款部分 补充流动资金:本次拟使用 15,000.00 万元募集资金用于补充流动资金。随着光伏、半导体等行业持续发展,带动了上游装备市场和公司业务的增长。通过补充流动资金可以满足公司购买原材料、产品生产以及日常运营需求,能够有效提高公司的偿债能力,降低公司流动性风险,并对公司研发投入和人才队伍建设给予有力的支持。表3:募投项目:加码基于 ALD 技术的光伏、柔性电子、半导体设备扩产升级等项目 序号 项目名称 项目总投资额(万元)募集资金投资额(万元)1 基于原子层沉积技术的光伏及柔性电子设备扩产升级项目 26,421.02 25,000.00 2 基于原子层沉积技术的半

55、导体配套设备扩产升级项目 63,310.80 50,000.00 3 集成电路高端装备产业化应用中心项目 11,811.74 10,000.00 4 补充流动资金 15,000.00 15,000.00 合计 116,543.56 100,000.00 资料来源:招股说明书。浙商证券研究所 2 ALD 技术应用空间广阔,半导体、光伏等行业发展带来新机遇技术应用空间广阔,半导体、光伏等行业发展带来新机遇 2.1 ALD 技术可精准镀膜,技术延展性强应用领域广泛技术可精准镀膜,技术延展性强应用领域广泛 2.1.1 ALD 可精准控制薄膜厚度可精准控制薄膜厚度,具备良好的具备良好的三维共形性三维共形

56、性、均匀性均匀性 原子层沉积(Atomic Layer Deposition,ALD)本质上是一种特殊的化学气相沉积(Chemical Vapor Deposition,CVD)技术,通过将气相前驱体脉冲交替通入反应室并在沉积基体上反应而形成薄膜的一种方法,具有优异的三维贴合性、大面积的沉积均匀性和精确的亚单层膜厚控制等特点。ALD 技术核心特点在于其独特的自限制生长特性,因此其不像 CVD、PVD是一个连续的工艺过程,而是由若干个半反应组成。以经典的 ALD 沉积 Al2O3 为例,生长过程分为四个步骤:1)金属前驱体三甲基铝 Al(CH3)3 通入反应腔,在沉积基底表面发生化学吸附反应 A

57、;2)惰性气体清洗(一般为高纯氮气或氩气),未反应的 TMA和反应副产物甲烷带出反应腔;3)将水蒸气通入反应室,水蒸气和衬底表面 TMA发生化学反应 B 形成氧化铝;4)再次通入惰性气体,清除多余水蒸气和反应副产物。如此为一个生长循环,氧化铝的厚度由生长循环数控制。图22:自限制生长是 ALD技术的核心特性 资料来源:招股说明书,浙商证券研究所 微导纳米(688147)公司深度 http:/ 16/46 请务必阅读正文之后的免责条款部分 自限性反应机理决定了 ALD 技术具备多项独特的薄膜沉积优势:1、拥有良好的三维共形性,广泛适用于不同形状的基底;2、成膜大面积的均匀性,且致密、无针孔;3、

58、可实现亚纳米级薄膜厚度的精确控制。ALD 技术局限主要在于沉积速度低、前驱体材料受限等。表4:ALD工艺特点:良好的三维共形性、均匀性、精确控制 ALDALD 工艺的特点工艺的特点 ALDALD 的优势的优势 ALDALD 的局限的局限 自限制生长工艺 精确简单的模厚控制(仅与反应循环次数有关):无需精确控制反应蒸汽流量;优异的三维贴合性和大面积的均匀性;规模化生产的能力;致密无针孔薄膜;低的热预算(通常沉积温度在室温400 度)低沉积速度;低的工艺温度导致低的结晶性;多余前驱体排空产生的经济性、环保问题;偏离理想的 ALD 生长模式 通过交替输入反应物蒸汽进行表面交换反应 避免气相反应,可以

59、使用高活性的前驱体;原子层组成控制,适合界面修饰和制备多组元纳米叠层结构 缺乏合适的前驱体,材料选择受限;杂质残余(如 H)通常存在 ALD 窗口 制备多层结构,直接掺杂,好的重复性 三元和复杂氧化物 ALD 窗口缺乏(如超导 YBCO)资料来源:原子层沉积技术:原理与应用,浙商证券研究所 2.1.2 ALD 技术延展性强,未来有望在多领域应用技术延展性强,未来有望在多领域应用 ALD 技术应用领域多、市场空间大。技术应用领域多、市场空间大。ALD 技术由芬兰科学家 Tuomo Suntola 博士及其同事在 1974 年发明,最先应用于电发光平板显示器并于 80 年代实现产业化。2001 年

60、国际半导体工业协会将 ALD 技术列入与微电子工艺兼容的候选技术以来,其发展势头强劲。2007 年,Intel 公司首先将 ALD 沉积超薄氧化铪作为栅介质层薄膜替代常规二氧化硅栅介质薄膜引入到 45nm工艺中,获得了功耗更低、速度更快的酷睿微处理器。目前 ALD 技术应用已从集成电路扩展到光伏、锂电池、催化、能源、显示器、生物、分离膜及密封涂层等领域。表5:ALD技术应用领域广阔 资料来源:原子层沉积技术:原理与应用,浙商证券研究所 在集成电路在集成电路“摩尔”“摩尔”领域,领域,ALD 技术应用逐步拓展。技术应用逐步拓展。近年来,晶圆制造的复杂度和工序量大大提升,以逻辑芯片为例,随着 90

61、nm以下制程的产线数量增多,尤其是 28nm及以下工艺的产线对镀膜厚度和精度控制的要求更高,特别是引入多重曝光技术后,工序数和设备数均大幅提高;在存储芯片领域,主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增高;元器件逐步呈现高密度、高深宽比结构。由于 ALD 在每个周期中微导纳米(688147)公司深度 http:/ 17/46 请务必阅读正文之后的免责条款部分 生长的薄膜厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满足器件尺寸不断缩小和结构 3D 立体化对于薄膜沉积工序中薄膜的厚度、三维共形性等方面的更高要求,随着芯片制程缩小而在部分环节

62、取代 PVD 和 CVD。图23:ALD在集成电路领域的应用 资料来源:Yole,浙商证券研究所 摩尔摩尔领域领域之外之外市场空间市场空间广阔,广阔,2020-2026 年市场规模年市场规模 CAGR 约约 12%。ALD 最多地应用在集成电路制造中,但不可忽略的是,ALD 技术在微机电系统、光电子、射频元件、功率元件、CMOS 传感器、先进封装等“超摩尔”领域具有重要应用。根据 Yole预测,在以上领域 ALD 市场规模将由 2020 年的 3.45 亿美元增长至 2026年的 6.8 亿美元,CAGR 约12%。从各细分领域来看,1)光电子器件中,预计 ALD 技术在 microLED、m

63、iniLED、硅基 OLED 等应用 2020 年至 2026 年的复合年增长达 30%;2)功率元件 2020-2026 CAGR 预计 12%;3)射频元件 2020-2026 CAGR 15%;4)先进封装 2020-2026 年 CAGR 13%。图24:ALD在非集成电路领域的应用市场空间不断增长 资料来源:Yole,浙商证券研究所(注:光电子包括 microLED、miniLED、硅基微型 OLED和固态激光应用)全球头部厂商不断拓展超摩尔全球头部厂商不断拓展超摩尔领域应用领域应用。2020 年,在超摩尔领域 ASM、TEL、Picosun 占据全球份额的 58%。ASM、TEL是

64、全球头部半导体设备公司,主要应用领域在逻辑和存储器件。Picosun 是一家专注于 ALD 技术的公司,积极扩展逻辑存储市场之外的0050060070080020202022420252026微机电系统光电子射频元件功率元件CMOS图像传感器先进封装微导纳米(688147)公司深度 http:/ 18/46 请务必阅读正文之后的免责条款部分 “超摩尔”市场,目前其产品覆盖领域除了新型存储器、MEMS、RF、化合物半导体功率器件、先进封装之外,还涉足医疗、奢侈品、能源和工业涂料市场。图25:2020年非集成电路市场全球 ALD市场份额 资料来源:Yol

65、e,浙商证券研究所 2.2 半导体设备:国产替代正当时,半导体设备:国产替代正当时,ALD设备空间打开设备空间打开 2.2.1 我国我国是全球最大半导体设备是全球最大半导体设备市场市场,晶圆厂逆周期扩产带来设备增量,晶圆厂逆周期扩产带来设备增量 中国大陆成为全球最大半导体设备市场,销售额增速中国大陆成为全球最大半导体设备市场,销售额增速显著高于显著高于全球。全球。2005-2021 年,全球、中国大陆半导体设备销售额年化复合增长率分别为 7%、21%,中国大陆市场增速显著快于全球。2021 年全球、中国大陆半导体设备销售额分别为 1026 亿美元、296 亿美元,同比增长 44%、58%。全球

66、半导体产业不断向我国大陆转移,我国半导体设备销售额占全球比重逐年提升,2021 年中国大陆半导体设备销售额占全球销售额 29%,是全球最大半导体设备市场。图26:2021年全球半导体设备销售额 1026亿美元,yoy+44%图27:2021年中国大陆半导体设备销售额 296亿美元,yoy+58%资料来源:SEAJ,Wind,浙商证券研究所 资料来源 Wind,SEAJ,浙商证券研究所 中芯中芯国际国际上调上调 2022 年资本开支,年资本开支,中国大陆预计中国大陆预计 2022-2026 年新增年新增 25 座座 12 英寸晶圆英寸晶圆厂。厂。中芯国际上调 2022 年资本开支从 50亿美元至

67、 66 亿美元,预计未来 57 年新增 34w片产能扩产。据集微网统计,2022 年中国大陆共有 23 座 12英寸晶圆厂正在投产,总计月产-100%-50%0%50%100%150%200%02004006008001,0001,20020052006200720082009200001920202021全球半导体设备销售额(亿美元)yoy-100%-50%0%50%100%150%200%250%300%350%05003003502005200620072008200920013

68、2000202021中国大陆半导体设备销售额(亿美元)yoy微导纳米(688147)公司深度 http:/ 19/46 请务必阅读正文之后的免责条款部分 能约为 104.2 万片,与总规划月产能 156.5 万片相比,产能装载率仅达到 66.58%,仍有较大扩产空间。预计中国大陆 2022 年-2026 年还将新增 25座 12 英寸晶圆厂,总规划月产能将超过 160万片。预计截至 2026 年底,中国大陆 12 英寸晶圆厂的总月产能将超过 276.3 万片,相比目前提高 165.1%。图28:2021-2022年中国大陆 12英寸晶圆厂月产能(万片

69、)图29:2017-2026年中国大陆地区 12英寸厂增量预测(座)资料来源:集微网,浙商证券研究所 资料来源:集微网,浙商证券研究所 2.2.2 薄膜沉积设备:晶圆制造主设备之一,薄膜沉积设备:晶圆制造主设备之一,2020-2025 年全球市场规模年全球市场规模 CAGR 15%刻蚀刻蚀、薄膜沉积、光刻薄膜沉积、光刻设备设备为半导体三大核心工艺为半导体三大核心工艺设备设备,占设备投资额约,占设备投资额约 80%。在晶圆制造设备中,刻蚀设备、薄膜沉积设备、光刻设备是价值量最高的三大主设备,分别占比约 30%、25%、23%,薄膜沉积设备价值量仅次于刻蚀设备。薄膜沉积设备技术种类最多,如 PEC

70、VD、LPCVD、PVD、ALD 等,ALD 设备约占薄膜沉积设备价值量的 11%。图30:晶圆制造三大核心工艺及国内外主要设备公司 资料来源:SEMI、LAM、ASML,浙商证券研究所 02040608001802021年初2022年初12英寸月产能产能提升空间055404550原12英寸厂投产数量当年新增投产数量微导纳米(688147)公司深度 http:/ 20/46 请务必阅读正文之后的免责条款部分 图31:薄膜沉积设备是晶圆制造三大主设备之一,种类繁多 资料来源:SEMI,拓荆科技招股书,浙商证券研究所 薄膜沉积是指采用物理或者化学的方法使

71、物质附着于衬底材料表面的过程。按工艺原理的不同,集成电路薄膜沉积可分为物理气相沉积(Physical Vapor Deposition,PVD)、化学气相沉积(Chemical Vapor Deposition,CVD)和原子层沉积(Atomic Layer Deposition,ALD)设备。1)物理气相沉积()物理气相沉积(PVD):采用物理方法将材料源(固体或液体)表面气化成气态原子或分子,或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀膜和真空离子镀膜。2)化学气相沉积(化学气相沉积(C

72、VD):):化学气体在外部能量作用下发生化学反应,在衬底表面沉积薄膜的一种工艺。用于沉积的材料包括介电材料、绝缘薄膜、硬掩模层以及金属膜层的沉积。常见的 CVD 包括低压化学气相沉积(LPCVD)、常压化学气相沉积(APCVD)、等离子体增强型气相沉积(PECVD)、金属有机化合物化学气相沉积(MOCVD)。3)原子层沉积()原子层沉积(ALD):原子逐层沉积在衬底材料上的工艺,通过将两种或多种前驱物交替通过衬底表面,发生化学吸附反应逐层沉积在衬底表面,能对复杂形貌基底表面全覆盖成膜。由于 ALD 设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现了芯片制造工艺中关键尺

73、寸的精度控制,在结构复杂、薄膜厚度要求精准的先进逻辑芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。ALD 设备主要分为 PE-ALD 和 Thermal ALD。图32:薄膜沉积设备技术分类 资料来源:招股说明书,浙商证券研究所 微导纳米(688147)公司深度 http:/ 21/46 请务必阅读正文之后的免责条款部分 三种薄膜沉积技术互为补充,三种薄膜沉积技术互为补充,ALD 在在 45nm以下具有广阔应用场景。以下具有广阔应用场景。在芯片的制造过程中,涉及十余种不同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和材料的薄膜,因此 PVD、CVD、

74、ALD 三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互补充,如 PVD 一般用于较厚的金属及导电类的平面膜层制备;CVD 一般适用中等以上厚度的膜层制备、应用范围广;ALD 可以一个原子的厚度(约0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用。表6:ALD更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用 技术路线对比 PVD CVD ALD 沉积原理 物理气相沉积 化学气相反应 化学表面饱和反应 沉积过程 成核生长 成核生长 逐层饱和反应 沉积速度 快 快 慢 均匀性控制能力 5nm 左右 0.5-2nm 0.07-0.1n

75、m 薄膜质量 化学配比一般,针孔数量高,应力控制有限 具有很好的化学配比,针孔数量少,具有应力控制能力 具有很好的化学配比,针孔数量少,具有应力控制能力 阶梯覆盖能力 弱 中 强 工艺环境 (温度、压强、流场等)对真空度的要求较高,镀膜具有方向性 对工艺参数的变化较为敏感 基于表面化学饱和反应,工艺参数可调整范围较大 沉积效果图 资料来源:招股说明书,浙商证券研究所 全球薄膜沉积设备全球薄膜沉积设备由美日荷兰由美日荷兰高度垄断,高度垄断,国产替代空间广阔。国产替代空间广阔。ALD 设备市场中,东京电子(TEL)和先晶半导体(ASMI)分别占据了 31%和 29%的市场份额;PVD市场中,应用材

76、料(AMAT)占 85%的比重;CVD 市场中,应用材料(AMAT)全球占比约为30%,泛林半导体(Lam)、TEL分别占 21%和 19%,三大厂商占据了全球 70%的市场份额。图33:2019年全球薄膜沉积设备市场占有率 资料来源:拓荆招股书,浙商证券研究所 微导纳米(688147)公司深度 http:/ 22/46 请务必阅读正文之后的免责条款部分 图34:全球主要薄膜沉积设备公司 资料来源:Yole,浙商证券研究所 全球薄膜沉积设备市场规模稳步增长。全球薄膜沉积设备市场规模稳步增长。根据 Maximize Market Research 数据,预计全球半导体薄膜沉积设备市场规模在 20

77、25 年将从 2020 年的 172亿美元扩大至 340 亿美元,CAGR 约 15%。全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。图35:全球半导体薄膜沉积设备市场规模(亿美元)资料来源:Maximize Market Research,公司招股书,浙商证券研究所 2.2.3 ALD 设备:设备:芯片微缩的关键推动者,芯片微缩的关键推动者,预计预计 2024 年我国年我国 ALD 设备市场设备市场超百亿超百亿 ALD 是是芯片微缩的关键推动技术,芯片微缩的关键推动技术,在在 45nm以下制程应用环节不断增加。以下制程应用环节不断增加。随着制程的缩小,原来用于成熟制程

78、的溅射 PVD、PECVD 等工艺无法满足部分工序要求,ALD 技术凭借薄膜厚度精确度高、均匀性好、台阶覆盖率极高、沟槽填充性能极佳等优势,在 45nm及以下制程中应用不断拓宽,具有广阔的市场前景。(1)45nm及以下:为了减小器件的漏电流及多晶硅栅电极耗尽效应,传统的二氧化硅栅介质、多晶硅栅电极分别被 ALD 工艺生长的高介质材料及金属栅所取代。(2)28nm及以下:ALD-W 作为 W-CVD 生长的籽晶层在 W 栓塞工艺中得到应用。(3)14nm及以下:3D FinFET 器件结构的引入及更小器件尺寸对薄膜生长的热预算、致密度及台阶覆盖率有更高的要求,使得 ALD 薄膜生长技术有了050

79、0300350400200202021E2022E2023E2024E2025E微导纳米(688147)公司深度 http:/ 23/46 请务必阅读正文之后的免责条款部分 更多应用,如 ALD-Si3N4 作为器件侧壁隔离层以及 ALD-SiO2 作为子对准硬掩模在双重光刻技术(SADP)及四重光刻技术(SAQP)中得到应用。在长江存储 3D NAND 产线中,所需的 ALD 设备数量已超越 PECVD。图36:45nm及以下制程中 ALD应用环节增加 资料来源:公司公告,浙商证券研究所 表7:长江存储产能为 2万片/月的 3DNAND产线(96层

80、)中需要的各类薄膜沉积设备数量 设备类型 数量(台)PECVD 73 PVD 12 ALD 96 资料来源:中国国际招标网,浙商证券研究所 ALDALD 市场市场驱动因素:节点缩小、驱动因素:节点缩小、HKMGHKMG、3D3D NANDNAND、FinFFinFETET 及及 GAAGAA 的应用的应用。(1)High-k 栅介质层栅介质层沉积依靠沉积依靠 ALD 技术技术。晶圆制造 65nm制程及以上中,集成电路主要通过沉积 SiO2薄膜形成栅极介质,但进入 45nm制程特别是 28nm之后,传统的 SiO2栅介质层薄膜材料厚度需缩小至 1纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应

81、,导致漏电流急剧增加、器件性能急剧恶化,此时用高 k材料替代 SiO2可优化器件性能。常见的高 k材料包括 TiO2、HfO2、Al2O3、ZrO2、Ta2O5 等。其中 HfO2 的介电常数为 25,具有适合的禁带宽度(5.8eV),因此 HfO2 作为栅介质层得到了业内广泛的应用。高 k材料的沉积要求原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,需要应用 ALD技术。2007 年,Intel 公司率先将 ALD 沉积的超薄 Hf 基氧化物薄膜作为栅介质层引入到45nm节点,获得了功耗更低、速度更快的酷睿微处理器。微导纳米(688147)公司深度 http:/ 24/46 请务必阅读正文之

82、后的免责条款部分 图37:MOS 结构和 SiO2、高 k栅介电层比较 资料来源:原子层沉积技术的应用现状及发展前景,浙商证券研究所(2)ALD 可实现可实现 3D NAND 结构中的良好填充。结构中的良好填充。在存储芯片领域,主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增高,元器件逐步呈现高密度、高深宽比结构,PVD和 CVD 难以达到沉积效果,ALD 则可以实现高深宽比特征下的均匀镀膜。以最具挑战性的向字线中填充导电钨为例:3D NAND 交替堆叠氧化物和氮化物介电层,目前层数多达 96层。密集排列且具有高深宽比的孔渗透至这些层中,按照高深宽比通道将排列分为

83、字线。为了创建存储单元,必须移除氮化物层并以钨进行替换。这种钨必须通过深(垂直深度 50:1)通道引入,然后横向扩散,从而以无孔洞的超共形沉积方式填充(之前的)氮化物水平面(横向比约 10:1)。原子层沉积能够一次沉积一个薄层,这就确保了均匀填充,并防止因堵塞而产生的空隙。图38:3D NAND采用复杂的高深宽比结构,ALD技术可实现 3D NAND字线的钨沉积 资料来源:公司公告,深圳真空行业协会,浙商证券研究所(3)新型立体结构晶体管沉积需要新型立体结构晶体管沉积需要 ALD 技术。技术。在标准平面(Planar)替换闸极技术中,金属栅极堆叠可由 ALD、PVD、CVD 组合而成,ALD

84、用于覆盖性关键阻障物(critical barrier)与功函数(work function)设定层,传统 PVD 和 CVD 用于沉积纯金属给低电阻率闸极接点。随着器件过渡到三维鳍型结构晶体管(FinFET)以及下一代围栅(GAA)等三维结构,PVD和 CVD 则难以达到沉积效果,需要使用 ALD 作为解决方案。微导纳米(688147)公司深度 http:/ 25/46 请务必阅读正文之后的免责条款部分 图39:不同制程下的晶体管结构 资料来源:公司公告,浙商证券研究所(4)ALD 技术技术可协助光刻机可协助光刻机实现更先进的制程实现更先进的制程。自 2011年开始,代工厂开始采用效率更高、

85、功耗更低的 22nm/16nm/14nmFinFET 晶体管结构,但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡层。在此过程中,由于多重曝光增加了多道薄膜沉积工序,需要薄膜技术具有接近 100%的保形性、薄膜厚度控制精准,因此 ALD 技术被迅速推广应用。图40:ALD 技术在技术在多重曝光多重曝光中助力中助力实现更先进的制程实现更先进的制程 资料来源:公司公告,浙商证券研究所 ALD 设备市场增速显著快于其他设备,设备市场增速显著快于

86、其他设备,2020-2025 年年 CAGR 26.3%。根据 SEMI预计,2020-2025 年全球 ALD 设备年复合增速达 26.3%,所有晶圆制造设备中增速最快。目前半导体 ALD 设备仍基本由境外厂商垄断,国内 ALD 设备公司主要包括微导纳米、拓荆科技和北方华创。微导纳米设备主要为 TALD,主要用于沉积金属薄膜,拓荆科技为PEALD 设备,主要沉积 SiO2 等非金属薄膜。微导纳米(688147)公司深度 http:/ 26/46 请务必阅读正文之后的免责条款部分 表8:SEMI预计 2020-2025年 ALD 设备市场空间增速超越其他 IC关键设备,CAGR达 26.3%资

87、料来源:SEMI 2021,浙商证券研究所 预计预计 2024 年年我国我国 ALD 设备市场规模超百亿设备市场规模超百亿元元人民币人民币。2020 年全球薄膜沉积设备市场172亿美元,其中 ALD 设备市场规模占薄膜沉积设备的 11%,测算得 2020年 ALD 设备全球市场规模 19亿美元。SEMI 预测,2020-2025 年全球 ALD 设备年复合增长率 26.3%,假设中国大陆半导体设备销售额在全球占比保持在 30%、ALD 设备年复合增速与全球 ALD设备增速相同,据此测算 2022-2025 年我国 ALD 设备市场规模将由 63亿元增长至 128亿元。表9:预计 2024年我国

88、 ALD设备市场规模超百亿元人民币 全球市场规模测算(亿美元)2020 2021E 2022E 2023E 2024E 2025E CVD 84 91 99 108 117 127 PVD 33 36 39 42 46 50 ALD 19 24 30 38 48 61 大陆销售额全球占比假设(%)26.3%28.9%30.0%30.0%30.0%30.0%我国市场规模测算(人民币亿元)2020 2021E 2022E 2023E 2024E 2025E CVD 155 185 208 226 245 266 PVD 60 72 81 89 97 105 ALD 35 48 63 80 101

89、128 资料来源:SEMI,Maximize Market Research,浙商证券研究所 2.3 光伏设备:光伏设备:N 型电池时代来临,新型电池技术迎产业化新机遇型电池时代来临,新型电池技术迎产业化新机遇 2.3.1 光伏行业景气度高,光伏行业景气度高,N 型电池技术拐点已至型电池技术拐点已至 全球能源转型背景下,光伏装机需求高全球能源转型背景下,光伏装机需求高。根据国际能源署,过去 10 年光伏度电成本从2010 年的 0.381 美元/度下降至 2020 年 0.057 美元/度,下降幅度高达 85%。度电成本下降推动全球光伏新增装机从 2011年的 30GW 提升至 2020 年的

90、 130GW。CPIA预计 2030 年全球新增装机需求达 315-366GW,中国光伏新增装机需求达 105-128GW。微导纳米(688147)公司深度 http:/ 27/46 请务必阅读正文之后的免责条款部分 图41:CPIA预计 2030年全球光伏装机需求 315-366GW 图42:CPIA预计 2030年我国光伏装机需求 105-128GW 资料来源:CPIA,浙商证券研究所 资料来源:CPIA,浙商证券研究所 N 型电池型电池时代来临时代来临,预计,预计 N 型技术将快速占领市场。型技术将快速占领市场。我国光伏电池技术已经历了两代电池片技术,2017 年以前,市场主流技术是 A

91、l-BSF(铝背场)电池技术,2018 年后 PERC电池凭借转化效率高具备商业可行性而逐渐成为主流电池技术。根据中国光伏行业协会的统计数据,2019 年至 2021 年的新建量产产线以 PERC 电池产线为主,PERC 电池片在 2021年的市场占比进一步提升至 91.2%。随着 PERC 电池产业化效率逐渐接近理论极限,行业开始布局新型光伏电池技术,代表技术包括 TOPCon、HJT、xBC 等。图43:2020-2030年不同电池技术市场占比的变化趋势 图44:晶硅电池发展路线 资料来源:CPIA,浙商证券研究所 资料来源:CPIA,公司公告浙商证券研究所 公司光伏设备位于中游电池片生产

92、环节。公司光伏设备位于中游电池片生产环节。光伏产业链分为上、中、下游,上游为晶体硅料的生产和硅棒、硅锭、硅片的加工制作;中游为光伏电池片的生产加工、光伏电池组件的制作;下游为光伏应用(包括电站项目开发、电站系统的集成和运营)。公司产品主要用于光伏产业链的中游电池片生产环节,为太阳能电池片厂商提供镀膜设备,是光伏电池片生产环节的关键工艺设备。0500300350400全球新增光伏装机(GW)保守情况(GW)乐观情况(GW)020406080100120140国内新增光伏装机(GW)保守情况(GW)乐观情况(GW)微导纳米(688147)公司深度 http:/ 28/46 请

93、务必阅读正文之后的免责条款部分 图45:公司光伏设备为光伏产业链中游电池片制造设备 资料来源:各公司公告,公开信息,Wind,浙商证券研究所 2.3.2 TOPCon:新一代光伏电池技术,新一代光伏电池技术,2022 年规模化量产起步年规模化量产起步 N 型电池中型电池中 TOPCon 电池率先电池率先进入规模化应用进入规模化应用。目前 N型电池主要有 TOPCon 和 HJT两种可规模量产的技术。TOPcon(隧穿氧化层钝化接触,Tunnel Oxide Passivated Contact)太阳能电池最早是 2013 年第 28届欧洲 PVSEC 光伏大会上德国 Fraunhofer 太阳

94、能研究所首次提出的一种基于选择性载流子原理的隧穿氧化层钝化接触的太阳能电池。其核心是在电池背面制备一层 1-2nm隧穿氧化层(SiO2)(化学钝化作用),然后再沉积一层掺杂多晶硅(场钝化作用),两者共同形成钝化接触结构,为硅片背面提供良好的界面钝化。一方面,由于氧化层很薄,多晶硅层有重掺杂,所以多数载流子可以穿过氧化层,而少数载流子被阻挡;另一方面,在电池表面背面的金属化过程中,金属电极仅与表面掺杂多晶硅形成金属化,避免了与硅衬底直接接触带来的接触复合,显著降低界面复合且兼顾了良好的接触性能。图46:TOPCon电池结构 资料来源:高效太阳电池技术及其核心装备国产化进展,浙商证券研究所 微导纳

95、米(688147)公司深度 http:/ 29/46 请务必阅读正文之后的免责条款部分 TOPCon 优势一:优势一:理论极限效率理论极限效率高,高,更接近太阳能电池极限效率。更接近太阳能电池极限效率。据德国哈梅林太阳能研究所测算,从理论极限效率来看,TOPCon 电池的理论极限效率高达 28.7%,高于PERC 电池的 24.5%和 HJT 电池的 27.5%,接近晶体硅太阳能电池的理论极限效率 29.4%。图47:TOPCon具有最接近晶硅太阳能电池的极限效率 资料来源:N型电池市场现状与发展趋势分析,浙商证券研究所 TOPCon 优势二:优势二:经济性优势经济性优势,具备具备量产可行性。

96、量产可行性。N型电池技术具有转换效率高、双面率高、温度系数低、无光衰、弱光效应好、载流子寿命长等优点,逐渐成为电池技术主要发展方向。从技术成熟度、产业链完整度、建设和运营成本来看,目前 TOPCon 技术由于可以与 PERC兼容、设备投资成本更优等特点,量产前景更明确。表10:光伏电池技术对比 电池技术 PERC TOPCon HJT p-TBC n-TBC 理论效率 24.50%28.70%27.5%(28.5%Longi)实验室效率 24.06%26%26.30%25.50%26.10%量产效率 23.2%-23.6%24.5%-25%24.5%-25%24.5%-25%24.5%-25%

97、生产成本 0.7-0.9 元/W 0.8-1.0 元/W 0.9-1.2 元/W 0.75-0.95 元/W 1.0-2.0 元/W 量产成熟度 大规模量产 逐步规模量产 小规模量产 即将成熟 即将成熟 设备投资额 1-1.5 亿元/GW 1.5-2 亿元/GW 3.5-4 亿元/GW 1.5-2 亿元/GW 2.5-3 亿元/GW 产线兼容性 兼容 PERC 不兼容 PERC 可制造性 难度低,工序 12 步 难度中低,工序 12 步,可由 PERC 升级 难度中高,工序 4 步 难度中高,工序 12 步 难度中高,工序 19步 优点 成熟度高 可从现有产线升级,性价比高 工序少 正面无栅线

98、遮挡,效率较高 正面无栅线遮挡,效率较高 目前主要问题 效率提升空间小 技术成熟度、良率和效率有待进一步提升 量产难度高,设备投资高 双面率低,组件全面焊接存在问题 成本高,工艺技术复杂 代表厂家 业内多数 晶科/晶澳/通威/中来/正泰/天合光能/一道/阿特斯 华晟/东方日升 隆基 爱旭 资料来源:正泰新能源,招股说明书,浙商证券研究所 TOPCon 电池成本端基本实现与电池成本端基本实现与 PERC 打平,未来有望继续降本。打平,未来有望继续降本。根据 Solarzoom 数据测算,目前 TOPCon 成本比 PERC高约 0.07 元/W:主要来自银浆耗量及设备折旧。1)银耗:PERC 电

99、池单片银浆耗量 70mg,而 TOPCon 电池单片银浆耗量约 120mg,目前市场上银浆约 5500 元/kg,TOPCon 银浆成本高 0.04 元/W;2)设备投资带来的折旧:相较于PERC,TOPCon 单 GW 投资额增加约 5000-7000 万元,按照 6 年折旧周期,对应成本增加微导纳米(688147)公司深度 http:/ 30/46 请务必阅读正文之后的免责条款部分 0.01 元/W;3)硅片成本已基本打平:以中环 7月公布的 166硅片报价 6.7元测算,在 PERC 23%效率对比 TOPCon 24.5%效率的基准下,N型较 P 型硅成本已基本打平。表11:TOPCo

100、n成本已基本和 PERC打平 PERC TOPCon 关键技术信息关键技术信息 电池片效率 23.00%24.50%M6 每片 W 数(W/片)6.22 6.71 电池片厚度(um)155 150 良率 98%96%电池片连接技术 9BB 9BB 关键假设关键假设 税率 13%设备折旧期(年)6 电池片单电池片单 W W 成本测算成本测算 1.1.硅片成本硅片成本 基于基于 20222022 年年 7 7 月中环报价月中环报价 基于基于 20222022 年年 7 7 月中环报价月中环报价 M6 硅片含税价格(元/片)6.26 6.7 单 W 含税成本(元/W)1.01 1.00 单 W 不含

101、税成本(元/W)0.89 0.88 2.2.非硅成本非硅成本 2.1 2.1 设备折旧设备折旧 生产设备价格(亿元/GW)1.4 2 单 W 折旧成本(元/W)0.023 0.033 2.2 2.2 浆料浆料 M6 电池片银浆耗量(mg/片)80 130 银浆含税价格(元/kg)6000 6000 单 W 含税成本(元/W)0.08 0.12 单 W 不含税成本(元/W)0.07 0.10 2.3 2.3 其他成本(元其他成本(元/W/W)0.12 0.13 2.2.非硅含税成本合计(元非硅含税成本合计(元/W/W)0.22 0.28 非硅不含税成本合计(元非硅不含税成本合计(元/W/W)0.

102、21 0.27 考虑良率后的不含税总成本(元考虑良率后的不含税总成本(元/W/W)0.22 0.28 与与 PERCPERC 电池成本差(元电池成本差(元/W/W)0.060.06 3.3.含税总成本(元含税总成本(元/W/W)1.23 1.28 不含税总成本(元不含税总成本(元/W/W)1.10 1.15 考虑良率后的不含税总成本(元考虑良率后的不含税总成本(元/W/W)1.12 1.20 与与 PERCPERC 电池成本差(元电池成本差(元/W/W)0.070.07 资料来源:Solarzoom,浙商证券研究所 微导纳米(688147)公司深度 http:/ 31/46 请务必阅读正文之后

103、的免责条款部分 光伏新老玩家加码光伏新老玩家加码 TOPCon,TOPCon 产业化持续推进产业化持续推进。据不完全统计,TOPCon 及新型高效电池已投产、在建及规划产能达 310GW。截至 2022 年 Q3,行业已有 40GW+N 型 TOPCon 电池实现投产,目前晶科、天合、中来、晶澳、通威、钧达、一道、润阳、上机等厂商均有不同规模的投入计划,预计 2023-2025 年迎来扩产高峰期、年均扩产规模有望超 100GW。表12:据不完全统计,TOPCon及新型高效电池已投产、在建及规划产能达 310GW 资料来源:公司公告,公开网站,浙商证券研究所 TOPCon 近两年市场将加速放量近

104、两年市场将加速放量,预计,预计 2022-2025 年市场空间年市场空间或或超超 840 亿元亿元。假设:1)我国电池片产量从 2021 年的 198GW 增长至 2025 年的 679GW,电池片产能从 361GW增长至 1132GW。2)TOPCon 市占率从 2021年的 4%提升至 2025年的 40%。3)设备投资额从 2021年的 2.2 亿,下降至 2025年的 1.8亿元。测算得出预计 2022-2025 年 TOPCon 市场空间合计超过 840亿元,2023-2025 年为 TOPCon 扩产高峰。表13:预计 TOPCon 2022-2025年市场空间超 842亿元 资料

105、来源:CPIA,IRENA,浙商证券研究所测算 项目20022E2023E2024E2025E我国电池片产量(GW)7402522679yoy24%47%45%40%30%30%产能利用率66%67%55%60%60%60%60%我国电池片产能(GW)=/86708701132TOPCon渗透率0%1%4%18%28%35%40%TOPCon产能合计(GW)5453TOPCon新增产能(GW)148单GW设备投资额(亿元)2.32.22.12.01.91.8设备市场空间(亿元)2271

106、50203223266yoy1073%451%35%10%20%核心假设TOPCon测算微导纳米(688147)公司深度 http:/ 32/46 请务必阅读正文之后的免责条款部分 TOPCon 技术方案多样,技术方案多样,PECVD 有望接替有望接替 LPCVD 成为主流成为主流。在 PERC技术升级为TOPCon 的核心三大工艺步骤中(隧穿氧化层、多晶硅层、扩散),多家厂商推出了各自的技术方案,氧化层需要新增的设备主要是氧化炉、PECVD、PEALD,隧穿氧化层一般需要增加 LPCVD、PECVD,根据掺杂方案的不同,需要增加退火炉、扩散炉或者离子注入机。LPCVD 工艺路线成熟度最高,为

107、目前 TOPCon 电池制备的主流工艺,未来随着 PECVD 技术不断完善,工艺稳定性不断提升,PECVD 有望因其更低成本而逐渐成为主 流。LPCVD 出现时间最早,发展最为成熟,成膜质量高、产能高,但存在较为严重的绕 镀问题,且石英管等耗材成本较高。PECVD 绕镀问题轻微,无需使用石英管因而耗材成 本低,但目前成膜均匀性不稳定、成膜致密度不高,后续有望受益于技术迭代成为主流技术。PVD不存在绕镀问题,但设备投资额高,成膜质量不稳定,工艺路线有待成熟。图48:TOPCon技术路线多样,可基于 PERC产线进行升级 资料来源:Solarzoom,浙商证券研究所 2.3.3 钙钛矿钙钛矿:原子

108、层沉积可用于钙钛矿电池的制备和封装原子层沉积可用于钙钛矿电池的制备和封装,产业化渐行渐近,产业化渐行渐近 钙钛矿电池或为下一代光伏钙钛矿电池或为下一代光伏技术技术,渐成崛起之势。,渐成崛起之势。钙钛矿是一种分子通式为 ABX3 的晶体材料,呈八面体形状,光电转换效率高,在光伏、LED 等领域应用广泛。钙钛矿太阳能电池(PSCs)是利用钙钛矿结构材料作为吸光材料的太阳能电池,具有高效率、低成本、高柔性等优势。微导纳米(688147)公司深度 http:/ 33/46 请务必阅读正文之后的免责条款部分 图49:钙钛矿太阳能电池结构及发电原理 资料来源:美能光伏,浙商证券研究所 钙钛矿电池理论极值高

109、于晶硅,可制 TOPCon、HJT 叠层电池。钙钛矿可制备 2 结、3 结及以上的叠层电池,单结 PSCs 当前最高转换效率达 25.7%,理论转化效率达 31%。目前钙钛矿-硅异质结叠层电池实验室效率世界记录达 31.3%,钙钛矿-TOPCon 叠层电池转换效率世界纪录为 28.2%。图50:钙钛矿-硅异质结叠层电池结构图 图51:钙钛矿-TOPCon叠层电池转换效率世界纪录 28.2%资料来源:南开大学光电子薄膜器件与技术研究所,浙商证券研究所 资料来源:中科院宁波材料所,浙商证券研究所(蓝色五角星为中科院宁波材料所)稳定性是制约钙钛矿太阳能电池产业化的重要因素。稳定性是制约钙钛矿太阳能电

110、池产业化的重要因素。钙钛矿太阳能电池主要缺点是寿命短(稳定性低)。目前钙钛矿太阳能电池的 T80 寿命(效率下降到初始值的 80%)约 4000 小时,距当前主流光伏技术的 25年寿命相差甚远。从原因来看,钙钛矿太阳能电池不稳定的原因可以分为吸湿性、热不稳定性、离子迁移等内在因素,和紫外线、光照等外在因素。图52:钙钛矿不稳定的原因 图53:钙钛矿太阳能电池稳定性的进展 资料来源:PCCP,浙商证券研究所 资料来源:协鑫纳米,浙商证券研究所 微导纳米(688147)公司深度 http:/ 34/46 请务必阅读正文之后的免责条款部分 原子层沉积原子层沉积可用于可用于钙钛矿电池的制备钙钛矿电池的

111、制备和封装和封装。钙钛矿电池结构多样,核心结构包括电子传输层、钙钛矿层和空穴传输层,工艺包括薄膜制备、激光刻蚀、封装三步。原子层沉积可用于电子传输层和空穴传输层、电池封装中。1)在传输层制备中,原子层沉积技术可以使电子传输层在保持较薄厚度的前提下,保持较好的均匀性和保型性,保证薄膜的电学性能。2)在电池封装中可应用原子层沉积制备阻水阻氧层。钙钛矿电池的不稳定性极高,水分和氧气的侵入是导致该爱看不稳定的主要外在因素,利用致密无孔洞的原子层沉积氧化物可以很好地阻隔水氧,维持钙钛矿电池地稳定性。图54:常见钙钛矿电池结构 (a)n-i-p 结构平面 PSC;(b)p-i-n 结构平面 PSC;(c)

112、介孔 PSC 资料来源:钙钛矿光伏电池封装材料与工艺研究进展_王婷,浙商证券研究所 钙钛矿中试线逐步建设,产业化渐行渐近。钙钛矿中试线逐步建设,产业化渐行渐近。PSCs 生产主要厂商协鑫光电、纤纳光电、极电光能均已完成超亿元融资,协鑫光电已投建全球首条 100MW 大面积组件中试线,极电光能也已开始建设 150MW 试验线,纤纳光电七次刷新小组件世界纪录,产业化发展欣欣向荣。3 竞争优势竞争优势:ALD 技术优势打开市场,推动技术平台化发展技术优势打开市场,推动技术平台化发展 3.1 半导体半导体:ALD技术引领者,技术引领者,突破突破卡脖子技术卡脖子技术 实现高端实现高端半导体半导体 ALD

113、 设备国产化从设备国产化从 0 到到 1 突破突破,有望快速占领市场,有望快速占领市场。首套用于300mm(12 英寸)晶圆的 High-k栅氧层薄膜沉积的 ALD 设备在客户 28nm生产线上获得验证,设备总体表现和工艺关键性能参数达到国际同类水平,并已取得客户重复订单,实现了国产半导体 ALD 设备在 28nm集成电路制造关键工艺量产线上的突破,该设备荣获中国第十五届半导体创新产品。半导体 ALD 设备的国产化实现了从 0到 1 的突破,自主可控背景下公司 ALD 设备有望实现快速放量。微导纳米(688147)公司深度 http:/ 35/46 请务必阅读正文之后的免责条款部分 表14:国

114、内设备公司 ALD布局 公司名称 ALD 产品类型 ALD 产品应用领域 ALD 产品产业化情况 微导纳米 TALD 300mm(12 英寸)晶圆的 High-k 栅氧层薄膜沉积 产业化应用 存储芯片的高 k 栅电容介质层(单元和多元掺杂介质层)和覆盖层、半导体量子器件超导材料导电层、第三代化合物半导体钝化层和过渡层 产业化验证 PEALD 第三代化合物半导体钝化层和过渡层 产业化验证 北方华创 TALD HKMG 工艺 产业化应用 PEALD 用于沉积 SiO2、SiNx、TiN、AlN 等多种膜层 未披露 拓荆科技 PEALD SADP 工艺、STI 表面薄膜 产业化应用 PEALD 应用

115、于 128 层以上 3D NAND FLASH 存储芯片、19/17nm DRAM 存储芯片晶圆制造,可以沉积 SiO2 和 SiN 介质材料薄膜 产业化验证 TALD 应用于逻辑芯片 28nm 以下制程,沉积 Al2O3、AlN 等多种金属化合物薄膜材料 研发中 资料来源:招股说明书,浙商证券研究所 半导体半导体 ALD 技术技术行业领先,行业领先,产品性能达到国际同类水平。产品性能达到国际同类水平。公司自 2019年布局半导体ALD 设备以来,仅一年时间即推出 ALD high-k设备发往客户,九个月即获得下游客户验证并用于量产,充分体现公司技术实力。公司专注于 ALD 技术,解决了先进制

116、程中薄膜沉积均匀性、金属污染及颗粒污染等工艺难题,满足了先进器件产品生产要求。从半导体薄膜沉积设备性能指标来看,公司半导体 ALD 设备的设备产能、平均故障间隔时间、平均修复时间、均匀性、薄膜颗粒控制、金属污染控制等多个技术指标已达到国际同类设备水平,反应源的可拓展性、机台稳定运行时间等部分指标数据占有优势。表15:公司半导体 ALD设备产品关键性能参数已达到国际同类设备水平 产品关键性能参数 国际同类设备水平 微导纳米设备水平 设备产能(片/小时)12 12 反应源(镀膜原材料)2 个(温度可控 RT-200),2 个反应气体源 4 个(温度可控 RT-250),2 个反应气体源 机台稳定运

117、行时间(Uptime)80%85%平均故障间隔时间(MTBF)200 小时 200 小时 平均破片率(MWBB)注 1 1100,000 1100,000 平均修复时间(MTTR)6 小时 6 小时 薄膜片内均匀性(1sigma,3mmEE)注 2 1.2%1.2%薄膜片间均匀性(1sigma,3mmEE)注 3 0.5%0.5%薄膜颗粒控制 Adders560nm Adders560nm 金属污染控制 2E10(原子/平方厘米)2E10(原子/平方厘米)资料来源:公司公告,浙商证券研究所(注 1:平均破片率(MWBB)1100,000表示:在每 100,000片晶圆镀膜中,破碎的片数小于 1

118、片;注 2:薄膜片内均匀性(1sigma,3mmEE)1.2%表示:在距离晶圆边缘 3mm(即去边 3mm)范围内的薄膜沉积厚度不均匀性小于 1.2%(1个标准差)。注3:薄膜片间均匀性(1sigma,3mmEE)0.5%表示:在距离晶圆边缘 3mm(即去边 3mm)范围内的薄膜沉积厚度不均匀性小于 0.5%(1个标准差)。)布局逻辑布局逻辑+存储存储+显示显示+化合物半导体,已获化合物半导体,已获多个先进领域多个先进领域订单。订单。公司已在逻辑芯片、先进存储、化合物半导体等多个半导体细分应用领域获得知名半导体公司的商业订单。至2022 年 6月末,公司半导体设备合同金额超过 1.5 亿元。A

119、LD 技术在先进逻辑芯片、新型存储芯片、化合物半导体、新型显示芯片等半导体领域中拥有良好的应用前景,在逻辑芯片领域,“28nm逻辑芯片中高 k栅介质层”是国内集成电路突破 28nm先进制程节点最难的工艺之一,公司设备已实现客户量产线验证。在存储芯片领域,“存储芯片的高 k栅电容介质层、介质覆盖层、金属层”应用于新型存储器,如新型铁电存储器具有非易失性铁电场效应,将有助于克服高速处理器和低速大容量内存之间的速度差异造成的传输瓶颈微导纳米(688147)公司深度 http:/ 36/46 请务必阅读正文之后的免责条款部分 问题,成为下一代主流存储方向之一。在新型显示芯片领域,“硅基微型显示芯片的阻

120、水阻氧保护层”应用于硅基 OLED 微型显示芯片,该类显示芯片采用集成电路 CMOS 工艺,作为半导体和 OLED 结合的一种新型显示技术,具有较大发展前景。在化合物半导体领域,“第三代化合物半导体的钝化层和过渡层”应用第三代化合物半导体功率器件,具有广阔的市场前景。“半导体量子器件的超导材料导电层”应用于半导体量子器件,属于目前半导体领域的前沿技术。表16:公司已签约半导体设备及订单(截至 2022年 6月末)资料来源:公司公告,浙商证券研究所 3.2 光伏光伏:光伏:光伏技术布局全面技术布局全面,订单有望高速增长,订单有望高速增长 公司以 ALD 技术为核心,已发展三代光伏设备产品:一代

121、ALD 设备、二代 PECVD/祝融 PEALD/羲和扩散炉、三代 TOPCon 工艺整线设备。公司在光伏领域持续以 ALD 技术路线为核心,深化发展包括热工艺 ALD 和等离子体工艺 PEALD 在内的 ALD 技术,同时兼顾 PECVD 等其他技术路线,以满足 TOPCon、HJT、IBC、钙钛矿等不同电池对不同薄膜工艺设备的需求。公司公司 ALD 设备在设备在新型电池产线新型电池产线中中具备技术优势。具备技术优势。PERC 中背面 Al2O3 镀膜使用PECVD 和 ALD 设备镀膜效果差别不大,但在 TOPCon 电池正面(具有金字塔结构的绒面)Al2O3 钝化层的制备中,PECVD

122、的生长速率快可能会导致钝化效果略差于 ALD,且ALD 技术具有优异的保形性且薄膜材料密度一致,因此成为 TOPCon 电池正面 Al2O3 钝化层的主流技术路线。2022年 1-6月开标的 TOPCon 产线项目中正面 Al2O3 钝化层制备均使用 ALD 技术。公司 ALD 设备在新型电池产线中得到下游客户广泛认可,2022 年上半年TOPCon 及 xBC 电池公开招标产线中,公司 ALD 设备中标规模达 75%。在在 TOCon 领域,领域,公司公司 ALD 设备市占率高达设备市占率高达 60%-70%,逐步向整线设备商迈进逐步向整线设备商迈进。公司开发的 PEALD 二合一平台,集成

123、了 PEALD 和 PECVD 两种工艺,分别用于制备隧穿层和多晶硅层,能够弥补 LPCVD 技术存在的不足。2021 年 4月,微导纳米与尚德电力就2GW TOPCon 整线项目签订合作协议,携手打造全球首条 GW 级以原子层沉积技术为核心的 TOPCon 整线,可兼容 182 mm及 210 mm硅片电池,目前量产效率已达到 25%,处于行业领先水平。2023年 1 月,公司公告拟向彭山通威销售 ALD 钝化设备及 PE-Poly设备,合同金额总计人民币 45,180.00 万(含税),代表公司 PE-Poly设备的龙头客户的突破,为进一步推动整线设备导入客户树立标杆作用。微导纳米(688

124、147)公司深度 http:/ 37/46 请务必阅读正文之后的免责条款部分 图55:微导 TOPCon方案仅 9步 资料来源:公司微信公众号,浙商证券研究所 技术布局全面,技术布局全面,xBC、HJT、钙钛矿有望放量。、钙钛矿有望放量。在在 xBC 领域领域,公司已获得隆基、爱旭批量设备订单。在在 HJT 设备领域,设备领域,公司正在研发采用 ALD 技术实现 TCO 薄膜的制备,也在依托 ALD 技术开发沉积新型 TCO薄膜的设备,例如采用 AZO(ZnO:Al)等资源储量丰富的薄膜材料制备 TCO薄膜,以改善目前 HJT 电池的生产技术、降低 HJT 电池片生产成本。在钙钛矿领域,在钙钛

125、矿领域,公司具备钙钛矿叠层电池产品和技术储备,ALD 设备已出口欧洲。表17:公司部分已签署的高效光伏电池设备合同 序号 客户名称 合同内容 签署时间 所建产线新型太阳能 电池技术路线 1 无锡尚德太阳能电力有限公司 PEALD 二合一设备、PECVD 设备、扩散炉、氧化退火炉 2021.4 TOPCon 2 通威太阳能(眉山)有限公司 PEALD 二合一设备、TALD 设备、扩散炉、氧化退火炉 2021.6 TOPCon 3 晶科能源(海宁)有限公司 TALD 设备 2021.11 TOPCon 4 泰州隆基乐叶光伏科技有限公司 TALD 设备 2022.2 HBC 5 滁州捷泰新能源科技有

126、限公司 TALD 设备 2022.2 TOPCon 6 泰州隆基乐叶光伏科技(西咸新区)有限公司 TALD 设备 2022.3 HBC 7 珠海富山爱旭太阳能科技有限公司 TALD 设备 2022.3 N 型高效电池 资料来源:招股说明书,浙商证券研究所 3.3 依托依托 ALD 技术延展性,拓展新应用领域未来可期技术延展性,拓展新应用领域未来可期 国家级专精特新“小巨人”,国家级专精特新“小巨人”,在多个领域具有技术先进性在多个领域具有技术先进性。公司先后承担了江苏省科技厅“基于原子层沉积(ALD)技术的微纳器件制造关键技术研发”、“ALD 钝化下的“超级黑硅电池”技术及其量产装备合作开发”

127、和“高介电常数栅介质材料原子层沉积设备的研发及产业化”等 3项重大科研项目。公司荣获了十余项省级以上荣誉,包括专精特新“小巨人”企业、国家高新技术企业、江苏省“双创”团队、江苏省首台(套)重大装备产品、苏南国家自主创新示范区独角兽企业等。公司拥有江苏省原子层沉积技术工程技术研究中心、江苏省博士后创新实践基地、江苏省省级企业技术中心等 6 个省级研发平台,产学研结合共同推动新技术研发。公司通过各项核心技术生产的设备在多个工艺性能上具有先进性,包括出色的成膜质量、超高的产能、优异的稳定性和多种薄膜工艺的制备能力等。微导纳米(688147)公司深度 http:/ 38/46 请务必阅读正文之后的免责

128、条款部分 表18:公司技术先进性水平及具体体现 工艺性能 性能描述 公司先进性具体体现 先进性水平 出色成膜质量 薄膜质量主要体现在薄膜的均匀性和颗粒污染等方面,薄膜质量的高低直接影响电池或器件的性能和质量,提高薄膜均匀性和降低颗粒污染具有较高的技术难度和壁垒。在成膜均匀性方面,公司解决了反应过程中工艺反应气体控制的难题,通过对喷淋板、脉冲阀及真空腔室的配合设计,确保不同反应气体在进入反应腔前相互隔离,在反应腔的任何部位的气体浓度相同,保证了薄膜沉积厚度均匀性。光伏领域可实现大批量装载薄膜厚度不均匀性达到片内、片间3%的要求,半导体领域可实现 2nm 厚度的 HfO2 薄膜厚度不均匀性达到99

129、%,实现了 ALD 设备高产能、高良率。达到国际同类设备水平 多种薄膜工艺 的制备能力 在各类基底上沉积不同类型的薄膜涉及不同的化学反应气体和工艺环节,需经过反复的设计、验证和调试过程,以满足不同薄膜沉积反应需求,涉及大量复杂且专业的仿真、设计、控制等方面技术。公司通过综合运用原子层沉积反应器设计、能量控制等技术,目前已开发热工艺的 ALD、等离子体工艺的 PEALD 等多种设备类型,具备沉积Al2O3、SiO2、SiNX、HfO2、ZrO2、La2O3、TiO2、TiN、AlN、ZnO 等多种薄膜工艺的能力,应用领域具有较强的拓展性,可满足下游客户多样化的薄膜沉积需求。达到国际同类设备水平

130、资料来源:公司公告,浙商证券研究所 积极推进积极推进 ALD 技术在新产业的应用技术在新产业的应用。ALD 技术是具备前瞻与共性的关键真空镀膜技术,不仅应用于集成电路、光伏新能源、柔性电子等领域,还可应用于显示领域、燃料电池、微机电系统及传感器、光学器件、生物医药、高功率器件等重要产业。公司作为国内ALD 技术领军者,积极拓展 ALD 技术在各个领域的应用,如新型显示领域、新能源领域、化合物半导体和微机电领域的研发,未来有望实现更多应用领域突破。微导纳米(688147)公司深度 http:/ 39/46 请务必阅读正文之后的免责条款部分 表19:公司在研项目涉及多个应用领域 序号 名称 拟达到

131、的目标 所处阶段及进展情况 应用领域 1 TOPCon 整线技术的开发 开发出可量产的批量型等离子增强型 ALD(PEALD)设备及其配套的自动化设备,采用二合一架构,同时完成 TOPCon 电池正面钝化层及减反射层、背面隧穿层及多晶硅层的制作 产业化验证 TOPCon 电池 2 应用于新能源电池的ALD 镀膜设备的研发及产业化 开发出批量式粉末 ALD 沉积设备、新能源及催化材料改性柔性材料ALD 沉积设备,在精确控制镀膜厚度的同时,提升包覆率、均匀性,提高材料性能,降低原材料耗用量以及提升产能,生产成本 开发实现阶段 新能源 3 半导体制造 ALD 设备平台 开发具有国际水平的半导体制造

132、ALD 设备产品及配套工艺平台 开发实现阶段 半导体等领域 4 大尺寸硅片PEALD/PECVD 设备 开发基于等离子增强型的 ALD 设备(PEALD),以及配套设备,使其能够满足相关工艺加工需求 开发实现阶段 光伏领域 5 新一代化合物半导体Mini LED 显示技术关键工艺技术研发及产业化 本项目研发的针对新一代化合物半导体 Mini LED 显示技术的设备可用于各类高、低温薄膜工艺应用,特别是氮化硅工艺,能够全面满足 300mm/200mm 晶圆的薄膜沉积工艺需求,为先进逻辑芯片、存储芯片、先进封装等提供介质层、图案化等关键工艺解决方案。开发实现阶段 新型显示领域 6 先进化合物半导体

133、及微机电关键工艺及产业化应用 开发 6/8 寸单片 ALD 系统,用于特殊半导体器件、MEMS、光电器件及化合物半导体器件等行业应用 开发实现阶段 化合物半导体和微机电领域 7 尖端存储器制造关键低温工艺及装备的研究与产业化 研发工艺用高生产率配置 ALD 系统,采用新 ALD 循环掺杂比例的技术,将多元系氧化物的组成比控制在个别应用领域元件所需的组合比,提供了解决目前铁电存储器(FeRAM)和铁电场效应晶体管(FeFET)器件制造方案 开发实现阶段 半导体等领域 8 基于 300mm 晶圆半导体制造高产能自动化真空传输技术的研究与产业化 开发具有自主知识产权的原子层沉积团簇平台,是生产 AL

134、D 和其它10nm 以下的工艺腔体必备的低微尘、高产能的晶圆传输平台 开发实现阶段 半导体等领域 9 28nm 及以下技术节点高介质栅氧及金属栅工艺技术和装备的国产化 开发用于先进芯片制造高介电常数(High-k)材料的原子层沉积(ALD)设备及工艺 开发实现阶段 半导体等领域 10 高效太阳能晶硅电池接触钝化技术的研究与产业化 开发应用于新型高效电池技术生产工序中的正背膜钝化设备,确保光电转换效率的进一步提升,并进一步提升了高效电池的产能 开发实现阶段 光伏领域 11 叠层电池技术研发 开发一种等离子体镀膜用电极结构,保证镀膜均匀性;开发一种沉积多种材料类型的镀膜技术,保证硅异质结电池(叠层

135、电池)技术灵活性,为更高效电池效率的取得提供可能性 开发实现阶段 光伏领域 12 高阻隔膜产业化技术研发 开发幅宽大、阻隔等级超高的量产型卷对卷空间原子层设备及配套自动化装备 开发实现阶段 柔性电子材料 资料来源:招股说明书,浙商证券研究所 4 盈利预测与盈利预测与估值估值 4.1 盈利预测盈利预测:预计公司:预计公司 2022-2024 年归母净利润复合增速年归母净利润复合增速 112%预计公司 2022-2024 年实现营业收入 6.72、14.07、23.62 亿元,同比增长 57%、109%、68%,CAGR 87%;归属母公司净利润 0.56、1.65、2.50亿元,同比增长 20%

136、、198%、51%,CAGR 112%;综合毛利率为 40.3%、41.3%、39.5%。(一)专用设备 1、光伏设备:1)ALD 设备:2019-2021 年,光伏 ALD 设备销量分别为 38/59/22 台,单台设备均价分别为 531.44/507.06/618.95 万元,单台设备价值量提升主要是因为公司单台设备产能扩大。预计公司 2022-2024 年 ALD 设备营收将迎来快速增长,主要基于:a)TOPCon、xBC 放量,下游需求高景气。放量,下游需求高景气。2022 年 TOPCon 已落地 40GW,目前 TOPCon、xBC 新型高效电池扩产规划超 310GW,预计 202

137、3-微导纳米(688147)公司深度 http:/ 40/46 请务必阅读正文之后的免责条款部分 2025 年 TOPCon 迎来扩产高峰期,年均扩产规模有望超 100GW,TOPCon 放量带动公司光伏 ALD 设备订单增长。b)ALD 设备在设备在 TOPCon 正面镀膜具有技正面镀膜具有技术优势,公司术优势,公司 ALD 设备性能指标处于行业领先地位。设备性能指标处于行业领先地位。据公司招股书,2022 年1-6月开标的 TOPCon产线项目中正面 Al2O3钝化层制备均使用 ALD技术。光伏 ALD 设备在 TOPCon、xBC 等新型高效电池技术优势突出,2022 年上半年TOPCo

138、n及 xBC电池公开招标产线中,公司 ALD设备中标规模达 75%。c)光光伏伏 ALD 设备在手订单充足。设备在手订单充足。截至 2022 年三季度,公司 ALD 设备在手订单(包括光伏、半导体和柔性电子领域)约 15.9 亿元,其中光伏 ALD 设备占主要比重。一般光伏设备从订单到确认营收在 6-12 个月左右,根据目前公司在手订单高增长的情况,预计公司2023-2024年光伏ALD设备收入迎来高增长。d)公司人员增长、募投项目提供产能支撑。公司人员增长、募投项目提供产能支撑。根据公司招股书,2022 年中员工人数已是 2019 年末的 3.14 倍,员工数量增长为未来产能提供支撑。此外根

139、据公司招股书,本次募投项目之一为建设基于原子层沉积技术的光伏及柔性电子设备扩产升级项目,拟新增年产 120 台 ALD 设备的生产能力,项目建设期两年,届时公司产能将大幅增长。基于以上分析,预计公司 2023-2024 年光伏 ALD 设备营收将迎快速增长,假设 2022-2024 年销售量 35、130、210 台。预计设备产能提升带来设备均价提升,假设公司 2022-2024 年光伏 ALD 设备销售均价为 600、620、630 万元;ALD 设备毛利 2018-2021 年呈下降趋势,假设 2022-2024 年毛利率 45%、44%、43%。2)PECVD 设备:公司 PECVD于

140、2020年研发完成,适用于多种高效电池技术,可用于制备 SiNx、SiONx、SiC、SiOx 等复合钝化膜。PECVD 设备于 2021 年开始确认营收,2021年销量 19 台,单台设备均价 374.9 万元,毛利率18.79%,毛利率较低的原因是 PERC市场存在成熟产品竞争。PECVD 设备市场竞争较为激烈,且存在成熟产品,预计销量平稳增长,假设 2022-2024 年PECVD 设备销量 20、25、40 台,销售均价 370万元,毛利率随着市场竞争加剧逐年略有降低为 19%、18.5%、18%。3)PEALD 二合一设备:公司 PEALD 二合一系统适用于 PERC、TOPCon、

141、IBC、TBC 等高效电池技术路线,可用于制备 Al2O3/SiNx,SiO2/poly-Si(i),poly-Si(n+),poly-Si(p+)等多种薄膜。PEALD 二合一设备于 2021年开始确认营收,2021年销量 17台,毛利率 19.59%,毛利率较低原因是市场存在成熟产品。预计公司 PEALD 设备将逐步导入大客户,假设 2022-2024 年 PEALD 二合一设备销量分别为 30、40、80 台,销售均价维持在 420万元,毛利率预计随着市场竞争加剧逐年略有降低为 20%、19%、18%。4)其他光伏设备:公司其他光伏设备包括羲和系列扩散、退火、氧化炉等,适用于 PERC、

142、TOPCon、IBC、TBC等高效电池技术路线的应用。公司 TOPCon整线工艺能力已获得验证,扩散、退火、氧化炉等作为整线工艺的设备预计将迎来快速增长。预计 TOPCon 将在 2022-2025 年迎来扩产高峰,公司扩散炉等设备充分受益于 TOPCon 产能扩张,2022年为羲和系列设备导入期,预计2023、2024 年销量稳步增长。假设 2022-2024 年其他光伏设备销量为 30、30、50台,销售均价维持在 200万元,毛利率维持在 20%。2、半导体设备 微导纳米(688147)公司深度 http:/ 41/46 请务必阅读正文之后的免责条款部分 SEMI 预测 2020-202

143、5 年全球 ALD 设备年复合增长率 26.3%,复合增长率高于其他半导体设备。根据前述测算 2024年我国半导体 ALD 设备市场规模预计超百亿,市场空间广阔。公司是国内半导体 ALD 设备龙头,在半导体领域,公司是国内首家成功将量产型High-k原子层沉积设备应用于 28nm节点集成电路制造前道生产线的国产设备公司,设备总体表现和工艺关键性能参数达到国际同类水平,并已获得客户重复订单认可,成功解决了一项半导体设备“卡脖子”难题。除上述在集成电路已实现产业化应用的功能外,公司 ALD 设备沉积的 HfO2、ZrO2、La2O3 以及互相掺杂沉积工艺可用于新型存储器如铁电存储(FeRAM)芯片

144、的电容介质层,沉积的 Al2O3、TiN、AlN 可用于化合物半导体、量子器件的超导材料导电层等,上述应用均已完成客户的试样测试并签署订单。目前公司已在逻辑芯片、先进存储、化合物半导体等多个半导体细分应用领域获得知名半导体公司的商业订单。至 2022 年 6月末,公司半导体设备合同金额超过 1.5亿元。基于以上分析,预计 2022-2024 年公司半导体设备业务营收 0.45、10.3、2.56 亿元,同比增速 79%、128%、149%,假设毛利率为 44.1%/46.5%/49.6%。3、柔性电子设备 目前公司产品为 FlexGuard(FG)系列卷对卷原子层沉积镀膜系统,主要为 OLED

145、 等各类柔性电子器件镀膜实现阻水阻氧保护。该设备能够在大幅宽的材料表面沉积高性能阻隔层,具备良好的阻水阻氧能力,能够有效保护 OLED 器件的性能和寿命。目前首台设备已在客户现场验证,并已获得客户重复订单。预计随着市场导入及客户拓展,公司柔性电子设备营收逐步提升,假设 2022-2024 年销售额 2000、3000、4000 万元,毛利率稳定在 45%。(二)配套产品及服务 1、设备改造:公司设备改造集中在光伏领域设备,设备改造的内容主要包括尺寸改造、工艺改造等。公司设备改造业务均为对自身实现销售的在役设备进行改造,预计随着设备累计销量的增长将持续产生后续设备改造业务机会,其业务规模与光伏电

146、池硅片大尺寸化趋势、公司臭氧工艺的推广以及新工艺开发及应用情况等因素相关。1)工艺改造:该业务包括臭氧工艺改造、新型电池技术增加反应源装置等新型工艺开发应用。公司臭氧工艺与原水工艺相比可增加硅片的少数载流子寿命,增强薄膜钝化效果,使存量产线生产的电池光电转换效率提升。公司目前的工艺改造均为应用于 PERC电池领域的 ALD 设备臭氧工艺改造。假设2022-2024 年工艺改造订单增速保持稳定在 5%。2)尺寸改造:受光伏市场硅片大尺寸趋势影响,尺寸改造存在持续业务机会。客户对于设备尺寸的需求来自于光伏市场上硅片大尺寸化的发展趋势,近年来,光伏电池片在 158mm、166mm、182mm乃至 2

147、10mm 逐步升级过程中。2020 年,166mm硅片的市场份额从年初的约 20%快速增长至年末的约 70%,公司在当年承接了较多的 166mm尺寸改造订单并在 2021 年度陆续执行完成。2021 年以来,182mm、210mm 的大尺寸硅片的市场份额正在迅速提高,带动166mm设备向更大尺寸改造需求。假设 2022-2024 年尺寸改造订单增速分别为 10%。微导纳米(688147)公司深度 http:/ 42/46 请务必阅读正文之后的免责条款部分 2、备品备件及其他:公司设备在运行过程中,部分零部件会出现正常损耗,因此下游客户需向公司采购易损耗的零部件。备品备件主要为载具(一体舟)、去

148、离子水等产品。公司还针对设备提供载具清洗、耗材更换等后续服务。2019-2021年该业务毛利率分别为 77.74%、76.90%、78.43%,假设 2022-2024 年该业务增速稳定在 20%,毛利率参考历史年度水平假设稳定在 75%。期间费用率关键假设:近年来光伏、半导体等下游应用高景气,公司订单实现高增长,管理、销售及支持人员数量增加,考虑到公司营收增长带来的规模效应,预计销售、管理费用率逐步下降,假设 2022-2024 年销售费用率分别为 6.5%、6.3%、6.2%,管理费用率分别为 6.2%/5.8%/5.6%。预计公司将持续加大研发投入,发力半导体、光伏、柔性电子及锂电池等领

149、域应用,假设 2022-2024 年研发费用率维持在 20%。表20:关键假设表(单位:百万元)20202020 20212021 2022E2022E 2023E2023E 2024E2024E 营业收入营业收入 收入 313 428 672 1407 2362 yoy 45%37%57%109%68%毛利率 51.9%45.8%40.3%41.3%39.5%1.1.专用设备专用设备 收入 299 300 535 1259 2203 yoy 48.1%0.4%78.1%135.4%75.0%毛利率 50.8%34.5%32.6%37.9%37.3%1.11.1 光伏设备光伏设备 收入 299

150、 275 470 1127 1907 毛利率 50.8%32.9%31.0%36.9%35.4%1.1.1ALD 设备 收入 299 136 210 806 1323 毛利率(%)50.8%46.8%45.0%44.0%43.0%1.1.2PECVD 设备 收入 71 74 93 148 毛利率(%)18.8%19.0%18.5%18.0%1.1.3PEALD 二合一设备 收入 68 126 168 336 毛利率(%)19.6%20.0%19.0%18.0%1.1.4 其他设备 收入 60 60 100 毛利率(%)20.0%20.0%20.0%1.21.2 半导体设备半导体设备 收入 25

151、 45 103 256 毛利率 52.2%44.1%46.5%49.6%1.31.3 柔性电子设备柔性电子设备 收入 20 30 40 毛利率 45.0%45.0%45.0%2.2.配套产品及服务配套产品及服务 收入 13 127 137 147 158 毛利率 76%73%70%70%70%2.12.1 设备改造设备改造 收入 2 123 131 140 150 毛利率 69.1%72.5%70.0%70.0%70.0%2.1.1 工艺改造 收入 73 76 80 84 yoy 5.0%5.0%5.0%2.1.2 尺寸改造 收入 2 50 55 60 66 yoy 868.5%2338.6%

152、10.0%15.0%15.0%2.22.2 商品备件及其他商品备件及其他 收入 11 4 5 6 8 毛利率 76.9%78.4%75.0%75.0%75.0%3.3.其他业务其他业务 收入 0.1 0.4 0.5 0.5 0.5 毛利率 100.0%-21.3%80.0%80.0%80.0%资料来源:招股说明书,浙商证券研究所 微导纳米(688147)公司深度 http:/ 43/46 请务必阅读正文之后的免责条款部分 4.2 投资建议:投资建议:看好公司半导体看好公司半导体和光伏业务高增长和光伏业务高增长,首次覆盖首次覆盖给予“增持”给予“增持”评级评级 公司主要产品包括半导体设备、光伏设

153、备和柔性电子设备,主要应用领域为半导体、光伏等。我们选取可比公司为北方华创、中微公司、拓荆科技、捷佳伟创和迈为股份,其中,北方华创、中微公司、拓荆科技产品主要应用领域为半导体领域,捷佳伟创、迈为股份产品主要应用领域为光伏领域。微导纳米与上述可比公司在主营业务、主要产品、应用领域、经营情况及主要竞争产品等方面的比较如下:表21:可比公司比较 可比领域可比领域 可比公司可比公司 产品构成及应用领域产品构成及应用领域 经营情况经营情况 与微导纳米主要竞争产品与微导纳米主要竞争产品 半导体 设备 北方华创 主要产品为电子工艺装备和电子元器件。电子工艺装备包括半导体装备、真空装备和锂电装备,应用于集成电

154、路、半导体照明、功率器件、先进封装、微机电系统、第三代半导体、新能源光伏、新型显示、真空电子、新材料、锂离子电池等领域。电子元器件主要包括电阻、电容、晶体器件、模块电源、微波组件等,广泛应用于精密仪器仪表、自动控制等领域。2021 年营业收入 96.8亿,归母净利润 10.8亿元。2021 年电子工艺装备营收占比 82%,电子元器件营收占比18%。半导体镀膜设备(北方华创主要是 PECVD,ALD 设备实现销售)光伏镀膜设备(以 PECVD 为主)中微公司 主要产品包括 CCP 刻蚀设备、ICP 刻蚀设备、MOCVD 设备。下游应用领域主要是集成电路、LED 芯片、MEMS 等半导体制造领域。

155、2021 年营收 31.1 亿元,归母净利润 10.1亿元。半导体应用于金属互联的CVD 钨制程设备正在客户验证,CVD、ALD 设备开发中。拓荆科技 主要产品涵盖 PECVD、ALD、SACVD 三类半导体薄膜沉积设备,是国内唯一一家产业化应用的集成电路 PECVD、SACVD设备厂商。拓荆 ALD 设备主要是 PEALD,用于沉积介质薄膜,主要应用在 SADP 工艺和 STI 工艺。2021 年营收 7.6 亿元,归母净利润 0.68亿元。2021 年 PECVD、SACVD、ALD 营收占比89.1%、5.4%、3.8%。半导体薄膜沉积设备(拓荆科技主要是 PECVD 设备,ALD设备已

156、实现营收)光伏设备 捷佳伟创 国内主要的太阳能电池设备企业之一,主营产品包括 PECVD及扩散炉等在内的光伏设备,其光伏镀膜设备主要采用PECVD 技术路线,目前尚无 ALD 设备。2021 年营收 50.5 亿,归母净利润 7.2 亿元。镀膜设备、扩散炉等光伏设备 迈为股份 HJT 整线设备龙头,目前尚无 ALD 设备。2021 年营收 31 亿,归母净利润 6.4 亿 HJT 设备、丝网印刷设备 资料来源:各公司公告,公司招股书,Wind,浙商证券研究所(注:根据公司招股书,2020年北方华创光伏设备领域薄膜沉积设备收入约 3-5亿元,占其 2020年营收 5%8%,因此我们将北方华创划分

157、至半导体设备领域可比公司)预计公司 2022-2024 年实现营业收入 6.72、14.07、23.62 亿元,同比增长 57%、109%、68%,CAGR 87%;归属母公司净利润 0.56、1.65、2.50亿元,同比增长 20%、198%、51%,CAGR 112%。对应 2月 16 日收盘价,22-24 年 PS分别为 24.0、11.4、6.8,PE为 289.9、97.4、64.5,高于可比公司平均值。考虑到在光伏领域,公司受益于行业扩产和 PE-poly设备市占率的提升,xBC、钙钛矿放量可期,HJT 有望实现技术突破,订单有望高速增长;半导体领域,目前 ALD 设备国产化率低市

158、场空间大,公司作为国内半导体 ALD 设备先行者将充分受益。此外,公司营收和归母净利润预计实现高速增长,我们认为应当享有一定的估值溢价。首次覆盖,给予“增持”评级。表22:可比公司估值(截至 2023年 2月 16日)证券简称证券简称 总市值总市值(亿(亿)营业收入(亿元)营业收入(亿元)PS 归母净利润(亿元)归母净利润(亿元)PE 2021 2022E 2023E 2024E 2022E 2023E 2024E 2021 2022E 2023E 2024E 2022E 2023E 2024E 北方华创 1,327 96.8 145.8 195.9 250.8 9.1 6.8 5.3 10.

159、8 21.1 28.7 38.6 62.9 46.3 34.4 中微公司 680 31.1 46.1 61.7 80.6 14.7 11.0 8.4 10.1 11.4 14.6 18.6 59.7 46.7 36.6 拓荆科技-U 345 7.6 15.7 23.9 33.0 22.0 14.4 10.5 0.7 3.1 4.3 6.3 112.4 79.7 55.0 捷佳伟创 436 50.5 63.0 86.9 110.1 6.9 5.0 4.0 7.2 10.0 13.6 17.3 43.6 32.0 25.2 迈为股份 661 31.0 45.5 75.4 109.8 14.5 8.

160、8 6.0 6.4 9.2 15.5 23.1 71.9 42.6 28.6 平均值 13.5 9.2 6.8 70.1 49.5 36.0 微导纳米 161 4.3 6.7 14.1 23.6 24.0 11.4 6.8 0.5 0.6 1.7 2.5 289.9 97.4 64.5 资料来源:Wind,浙商证券研究所 微导纳米(688147)公司深度 http:/ 44/46 请务必阅读正文之后的免责条款部分 5 风险提示风险提示 1)国内国内市场竞争加剧的风险市场竞争加剧的风险 近年来 ALD 技术因其良好的市场空间和丰富的应用场景受到关注,国内竞争者开始出现。公司国内半导体薄膜沉积设备

161、竞争对手的主要有北方华创、拓荆科技、中微公司,光伏领域薄膜沉积设备的竞争对手包括主要采用 ALD 技术的无锡松煜、理想晶延,主要采用PECVD 技术的捷佳伟创、北方华创、红太阳、拉普拉斯、Centrotherm。随着国内竞争者的增加,可能对公司生产经营产生不利影响。2)技术迭代及新产品开发风险技术迭代及新产品开发风险 随着技术和应用领域的不断发展,下游客户对薄膜沉积设备工艺路线、材料类型、技术指标等要求也不断变化,因此会对产品提出新的要求。公司需要不断紧跟行业技术发展趋势、及时研发可满足行业技术要求的产品。如果公司未能准确理解下游客户的产线设备及工艺技术演进需求,或者技术创新产品不能契合客户需

162、求,如无法持续提供满足电池降本增效需求的产品、无法响应新型高效电池(TOPCon、HJT 等)或半导体制造工艺制程继续提高等新的应用需求,可能导致公司设备无法满足下游生产制造商的需要,从而可能对公司的经营业绩造成不利影响。3)新产品验证进度及市场发展不及预期的风险新产品验证进度及市场发展不及预期的风险 在光伏领域,新型高效电池如 TOPCon、HJT 在 2022 年以来扩产计划加速,但因技术成熟度、投资成本等限制性因素,规模化量产尚存在不确定性。在半导体领域,我国在先进制程的设备制造产业起步较晚,目前国内先进产线关键设备的国产化仍处于起步和发展阶段。如果国内新型高效电池和先进制程晶圆制造产线

163、发展不及预期,公司未来销售增长将受到限制。4)美国美国半导体半导体管制加剧管制加剧风险风险 2022 年 10月美国 BIS对华半导体开展新一轮管制,对国内先进制程设备、零部件和人员开展了全面管制,对国内半导体行业扩产造成了一定不利影响。公司作为国内半导体ALD 设备领先企业,可能会出现国外供应商受相关政策影响减少或者停止对公司零部件的供应,或者由于国产替代的元器件无法达到境外相关产品的质量和技术标准,进而影响公司产品生产能力、生产进度和交货时间。微导纳米(688147)公司深度 http:/ 45/46 请务必阅读正文之后的免责条款部分 Table_ThreeForcast 表附录:三大报表

164、预测值表附录:三大报表预测值 资产负债表 利润表 (百万元)2021 2022E 2023E 2024E (百万元)2021 2022E 2023E 2024E 流动资产流动资产 1,276 2,872 3,543 5,003 营业收入营业收入 428 672 1407 2362 现金 120 1,198 739 1,197 营业成本 232 401 826 1430 交易性金融资产 247 247 247 247 营业税金及附加 2 3 7 12 应收账项 152 343 720 1,093 营业费用 34 44 89 146 其它应收款 6 17 46 86 管理费用 26 39 79 1

165、28 预付账款 10 20 41 71 研发费用 97 134 281 472 存货 403 698 1,329 1,793 财务费用 2 1 (17)(10)其他 339 348 420 516 资产减值损失(13)(13)(25)(30)非流动资产非流动资产 81 111 400 560 公允价值变动损益 1 0 0 0 金额资产类 4 4 4 4 投资净收益 11 13 35 59 长期投资 0 0 0 0 其他经营收益 21 17 35 59 固定资产 36 65 334 486 营业利润营业利润 40 50 157 238 无形资产 8 8 13 17 营业外收支 0 0 0 0 在

166、建工程 0 1 17 22 利润总额利润总额 41 50 157 238 其他 32 32 32 32 所得税(6)(5)(8)(12)资产总计资产总计 1,357 2,983 3,943 5,563 净利润净利润 46 56 165 250 流动负债流动负债 446 987 1,782 3,152 少数股东损益 0 0 0 0 短期借款 67 0 0 0 归属母公司净利润归属母公司净利润 46 56 165 250 应付款项 197 582 966 1,761 EBITDA 48 90 225 324 预收账款 0 0 0 0 EPS(最新摊薄)0.10 0.12 0.36 0.55 其他

167、183 405 816 1,391 非流动负债非流动负债 27 27 27 27 主要财务比率 长期借款 0 0 0 0 2021 2022E 2023E 2024E 其他 27 27 27 27 成长能力成长能力 负债合计负债合计 473 1,014 1,809 3,179 营业收入 36.91%57.05%109.32%67.92%少数股东权益 0 0 0 0 营业利润-32.66%24.66%211.82%51.11%归属母公司股东权益 883 1,968 2,134 2,383 归属母公司净利润-19.12%20.40%197.64%51.11%负债和股东权益负债和股东权益 1,357

168、 2,983 3,943 5,563 获利能力获利能力 毛利率 45.77%40.30%41.27%39.48%现金流量表 净利率 10.78%8.26%11.75%10.57%(百万元)2021 2022E 2023E 2024E ROE 5.22%2.82%7.74%10.48%经营活动现金流经营活动现金流(76)142 (168)614 ROIC 3.71%4.59%9.24%11.70%净利润 46 56 165 250 偿债能力偿债能力 折旧摊销 16 7 36 55 资产负债率 34.89%34.00%45.89%57.15%财务费用 3 3 1 1 净负债比率 53.58%51.

169、53%84.79%133.39%投资损失(11)(13)(35)(59)流动比率 2.86 2.91 1.99 1.59 营运资金变动(146)61 (390)302 速动比率 1.21 1.83 0.98 0.04 其它 15 29 55 65 营运能力营运能力 投资活动现金流投资活动现金流(512)(18)(290)(156)总资产周转率 0.35 0.31 0.41 0.50 资本支出(23)(31)(325)(215)应收账款周转率 7.31 6.46 6.65 6.54 长期投资 0 (0)0 0 应付账款周转率 1.65 1.56 1.60 1.58 其他(489)13 35 59

170、 每股指标每股指标(元元)筹资活动现金流筹资活动现金流 232 954 (1)(1)每股收益 0.10 0.12 0.36 0.55 短期借款 27 (67)0 0 每股经营现金-0.17 0.31 -0.37 1.35 长期借款 0 0 0 0 每股净资产 1.94 4.33 4.70 5.24 其他 205 1,021 (1)(1)估值比率估值比率 现金净增加额现金净增加额(356)1,078 (459)457 P/E 349.07 289.93 97.41 64.46 P/B 18.22 8.18 7.54 6.75 EV/EBITDA 165.79 68.22 46.11 资料来源:浙

171、商证券研究所 微导纳米(688147)公司深度 http:/ 46/46 请务必阅读正文之后的免责条款部分 股票投资评级说明股票投资评级说明 以报告日后的 6 个月内,证券相对于沪深 300指数的涨跌幅为标准,定义如下:1.买 入:相对于沪深 300 指数表现20以上;2.增 持:相对于沪深 300 指数表现1020;3.中 性:相对于沪深 300 指数表现1010之间波动;4.减 持:相对于沪深 300 指数表现10以下。行业的投资评级:行业的投资评级:以报告日后的 6 个月内,行业指数相对于沪深 300 指数的涨跌幅为标准,定义如下:1.看 好:行业指数相对于沪深 300指数表现10%以上

172、;2.中 性:行业指数相对于沪深 300指数表现10%10%以上;3.看 淡:行业指数相对于沪深 300指数表现10%以下。我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论。法律声明及风险提示法律声明及风险提示 本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关

173、联机构(以下统称“本公司”)对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅反映报告作者的出具日的观点和判断,在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,投资者应当对本报告中的信息和意见进行独立评估,并应同时考量各自的投资目的、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。本公司的交易人员以及其他专业人士可能会依据不同

174、假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。本报告版权均归本公司所有,未经本公司事先书面授权,任何机构或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。浙商证券研究所浙商证券研究所 上海总部地址:杨高南路 729 号陆家嘴世纪金融广场 1号楼 25层 北京地址:北京市东城区朝阳门北大街 8号富华大厦 E座 4层 深圳地址:广东省深圳市福田区广电金融中心 33层 上海总部邮政编码:200127 上海总部电话:(8621)80108518 上海总部传真:(8621)80106010 浙商证券研究所:https:/

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(微导纳米-公司深度报告:中国ALD设备龙头半导体光伏两翼齐飞-230216(46页).pdf)为本站 (臭皮匠) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部