上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

长电科技-公司研究报告-先进封装深厚积累铺就长期成长之路-230403(32页).pdf

编号:120785 PDF 32页 2.20MB 下载积分:VIP专享
下载报告请您先登录!

长电科技-公司研究报告-先进封装深厚积累铺就长期成长之路-230403(32页).pdf

1、请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告|20232023年年0404月月0303日日买入买入长电科技(长电科技(600584.SH600584.SH)先进封装深厚积累铺就长期成长之路先进封装深厚积累铺就长期成长之路核心观点核心观点公司研究公司研究深度报告深度报告电子电子半导体半导体证券分析师:胡剑证券分析师:胡剑证券分析师:胡慧证券分析师:胡慧1-S0980521080001S0980521080002证券分析师:周靖翔证券分析师:周靖翔证券分析师:李梓澎证券分析师:李梓澎55-S0980522100001S

2、0980522090001证券分析师:叶子证券分析师:叶子联系人:詹浏洋联系人:詹浏洋0-S0980522100003基础数据投资评级买入(维持)合理估值43.30-46.39 元收盘价32.45 元总市值/流通市值57746/57746 百万元52 周最高价/最低价34.39/19.49 元近 3 个月日均成交额1398.62 百万元市场走势资料来源:Wind、国信证券经济研究所整理相关研究报告长电科技-600584-2021 年三季报点评:封测产能紧缺,业绩超预期 2021-10-31长电科技-600584-2021 年中报:业绩高增长,星科金朋超预期2021

3、-08-23长电科技-600584-2021 年半年度业绩预告:封测龙头,业绩加速成长 2021-07-06长电科技-600584-2021 年半年度业绩预告:封测龙头,业绩加速成长 2021-07-05长电科技-600584-2020 年及 21Q1 财报:封测龙头,迈入成长新阶段 2021-04-29国内第一国内第一、全球第三集成电路封测企业全球第三集成电路封测企业,20222022 年业绩表现强劲年业绩表现强劲。公司成立于1972 年,是全球领先的集成电路制造和技术服务提供商。2015 年,在国家集成电路大基金和中芯国际协同下,公司成功收购当时全球第四大集成电路专业委外封测企业(OSAT

4、)星科金朋。经过成功的整合,当前公司在中国、韩国和新加坡设有六大生产基地和两大研发中心,公司在成熟和先进封测技术、下游市场和应用布局、全球客户布局以及财务情况和运营能力全面领先国内同行,2022 年公司营收337.6 亿元,归母净利润32.3 亿元,规模稳居国内第一、全球第三。“后摩尔时代后摩尔时代”制程工艺突破日渐迟滞制程工艺突破日渐迟滞,ChipletChiplet等先进封装成为产业焦点等先进封装成为产业焦点。2015 年以后,随着集成电路制程工艺接近物理尺寸的极限,新工艺性能提升收益逐渐减少,开发成本则大幅提升(IC Insights:5nm开发成本为5.4亿美元,28nm为0.51 亿

5、美元),大算力芯片更是面临“存储墙”、“面积墙”、“功耗墙”和“功能墙”制约。因此,晶圆级封装、系统级封装、Fan-Out等先进封装日益被重视,台积电、英特尔、日月光、安靠等全球半导体巨头纷纷投入,以期从系统层面推动摩尔定律继续发展。受益于数据中心、新能源汽车、5G、人工智能产业的发展,先进封装市场规模有望从 2020年的 277 亿美元增长至 616 亿美元,增速和市场规模皆超过传统封装。长电科技在先进封装技术布局全面长电科技在先进封装技术布局全面,ChipleChiplet t高密度异构方案进入稳定量产高密度异构方案进入稳定量产。长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费

6、类、汽车和工业等重要领域拥有行业领先的SiP、2.5/3D、WLP、Fan-Out等先进封装以及混合信号/射频集成电路测试技术和大规模量产经验。2022 年6 月,公司成为首家加入UCIe联盟的国内封测企业;2023年1月5日,公司宣布其XDFOI Chiplet高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际客户4nm节点多芯片系统集成封装产品出货。凭借该技术,公司有望在FPGA、CPU、GPU、AI 和5G网络芯片等市场显著提升竞争力。投资建议投资建议:目标价目标价43.30-46.3943.30-46.39 元元,维持维持“买入买入”评级评级。“后摩尔时代”和AI大算力需

7、求提升周期内,我们看好公司凭借在先进封装领域的深厚积累实现显著成长。我们预计23-25年公司EPS为1.93/2.38/3.04元,BPS为15.46、17.46、20.01 元,给予公司对应 2023 年23-24 倍PE 或2.8-3 倍PB,目标价43.30-46.39 元/股,维持“买入”评级。风险提示:风险提示:芯片需求不及预期;市场竞争加剧;美国制裁加剧。盈利预测和财务指标盈利预测和财务指标202222023E2023E2024E2024E2025E2025E营业收入(百万元)30,50233,76235,78841,02047,850(+/-%)15.3%1

8、0.7%6.0%14.6%16.6%净利润(百万元)295932310(+/-%)126.8%9.2%6.2%23.6%27.5%每股收益(元)1.661.821.932.383.04EBITMargin10.2%9.7%9.4%10.3%11.3%净资产收益率(ROE)14.1%13.1%12.5%13.7%15.2%市盈率(PE)19.818.117.013.810.8EV/EBITDA11.210.612.310.38.6市净率(PB)2.792.372.131.881.64资料来源:Wind、国信证券经济研究所预测注:摊薄每股收益按最新总股本计算请务必阅读正文之后

9、的免责声明及其项下所有内容证券研究报告证券研究报告2内容目录内容目录公司概况:国内领先的集成电路制造和技术服务提供商公司概况:国内领先的集成电路制造和技术服务提供商.5 5成立于 1972 年,专注于半导体的封装和测试.5大基金和中芯国际为前二大股东,三方协同成就全球封测龙头.6全行业景气叠加先进封装占比提升,公司业绩开始突破性提升.8后摩尔时代,先进封装成为产业焦点后摩尔时代,先进封装成为产业焦点.1010芯片封装测试随半导体产业发展重要性日渐提升.10大算力遭遇后摩尔时代,异构集成引吭高歌.12先进封装开花结果,先进封装开花结果,ChipletChiplet 进入量产进入量产.1919聚焦

10、关键应用先进封装,成品制造技术布局全面.19Chiplet 异构封装进入稳定量产,提升大算力芯片市场份额.21盈利预测盈利预测.2323盈利预测假设.23未来 3 年业绩预测.24盈利预测的情景分析.24估值与投资建议估值与投资建议.2626相对估值:合理估值区间 43.30-46.39 元.26投资建议:维持“买入”评级.27风险提示风险提示.2828财务预测与估值财务预测与估值.3030EYaXkZlXeYkXrYtWuV6MaO7NmOnNsQoNjMoOrNlOmMtPaQoOxOMYqMqPNZsQnM请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告3图表图表目录

11、目录图1:全球前十大封测公司.5图2:长电科技全球布局.6图3:公司股权结构(截至 2022 年 12 月 31 日).7图4:公司营业收入及增速.8图5:公司净利润及增速.8图6:公司季度营业收入及增速.9图7:公司季度净利润及增速.9图8:公司销售毛利率、净利率.9图9:公司期间费用率.9图10:委外封装测试公司一站式封测服务.10图11:半导体产业链分工.11图12:全球半导体封装市场规模预测.12图13:不同工艺制程节点设计费用.12图14:当前先进芯片发展遇到“存储墙”“面积墙”“功耗墙”和“功能墙”.13图15:Chiplet 异构集成示意.14图16:硅通孔技术.14图17:英伟

12、达 A100 GPU CoWoS 封装.15图18:基于 TSV 技术实现堆叠 HBM.15图19:英特尔 CO-EMIB 技术.16图20:台积电 InFO 技术.17图21:扇出封装市场增速.17图22:UCle 联盟成员.17图23:高性能封装领域市场规模预测.18图24:高性能封装投资排名.18图25:长电科技 2.5/3D 封装.19图26:长电科技晶圆级封装.20图27:长电科技系统级封装.20图28:长电科技倒装封装技术.21图29:国内半导体封测领域企业专利数量.21图30:国内半导体封测领域企业海外专利数量.21图31:长电科技 XDFOI Chiplet 异构集成解决方案.

13、22图32:长电科技和可比公司扣非归母净利润比较.26图33:长电科技和可比公司扣非摊薄 ROE 比较.26图34:长电科技和可比公司 ROA 比较.27图35:长电科技和可比公司总资产周转率.27请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告4表1:长电科技芯片成品制造全球基地分布.6表2:公司现任主要管理层.7表3:公司 2022 年股票期权激励计划公司层面业绩考核目标.8表4:集成电路封装发展.11表5:公司营收拆分.23表6:未来 3 年盈利预测表.24表7:情景分析(乐观、中性、悲观).24表8:可比公司估值情况.27请务必阅读正文之后的免责声明及其项下所有内容

14、证券研究报告证券研究报告5公司概况公司概况:国内领先的集成电路制造和技术服国内领先的集成电路制造和技术服务提供商务提供商成立于成立于 19721972 年,专注于半导体的封装和测试年,专注于半导体的封装和测试江苏长电科技股份有限公司创立于江苏长电科技股份有限公司创立于 19721972 年,是全球领先的集成电路制造和技术年,是全球领先的集成电路制造和技术服务提供商服务提供商。公司前身为 1972 年成立的江阴晶体管厂,1989 年实现集成电路自动化生产线全面投产,2000 年改制为江苏长电科技股份有限公司,2003 年在上海证券交易所正式上市。从营收规模上来看,长电科技位列全球前十大专业封测企

15、业第三,仅次于日月光及安靠。图1:全球前十大封测公司资料来源:芯思想研究院,国信证券经济研究所整理长长电科技在提供全方位的晶圆级技术解决方案平台方面处于行业领先地位电科技在提供全方位的晶圆级技术解决方案平台方面处于行业领先地位,位列位列全球第三大专业封装测试公司全球第三大专业封装测试公司。公司提供的解决方案包括扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)、集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID),并且能广泛应用于 5G 移动处理器、WiFi路由器及功放、车载信息于娱乐系统、可穿戴设备、功能性服务器、通用处理器等。公司公司在全球拥有

16、在全球拥有 2300023000 多名员工多名员工,在中国在中国、韩国和新加坡设有六大生产基地和两韩国和新加坡设有六大生产基地和两大研发中心,在大研发中心,在 2020 多个国家和地区设有业务机构,可与全球客户进行紧密的技多个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。术合作并提供高效的产业链支持。其中本部江阴工厂从事凸块、晶圆级封装、倒装及测试、引线框封装、基板封装和 SiP;滁州工厂从事小功率器件引线框封装、分立器件及测试;宿迁工厂从事大功率器件引线框封装、集成电路封装、倒装及测试;新加坡厂区,为星科金朋新加坡厂为主,从事晶圆级封装、eWLB、引线框封装、

17、测试;长电韩国为星科金朋韩国厂,从事芯片堆叠 PoP、倒装及测试,长电韩国设立 SiP 封装厂和星科金朋韩国协调拓展国内外客户。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告6图2:长电科技全球布局资料来源:公司官网,国信证券经济研究所整理大基金和中芯国际为前二大股东,三方协同成就全球封测龙头大基金和中芯国际为前二大股东,三方协同成就全球封测龙头大基金和中芯国际为公司前二大股东大基金和中芯国际为公司前二大股东。截至 2022 年 12 月,国家集成电路产业基金持股 13.31%,芯电半导体持股 12.86%,仍为公司仅有持股 10%以上的股东。其中芯电半导体为中芯国际间接

18、全资子公司。2014 年,彼时全球第六大封测厂的长电科技联合国家集成电路大基金和中芯国际旗下芯电半导体,设立“长电新科-长电新朋-新加坡 JCET 公司”三级架构,成功收购全球第四大封测厂星科金朋,交易金额为 7.8 亿美元。此后,中芯国际和大基金逐渐退出交易结构。2015 年,中芯国际通过认购长电科技非公开发行成为长电科技单一第一大股东。此后,大基金于 2018 年以 29 亿元认购长电非公开发行股票,持股不超过 19%,超越中芯国际成为长电科技第一大股东。表1:长电科技芯片成品制造全球基地分布厂区厂区制造产品制造产品江阴滨江厂区江阴滨江厂区凸块、晶圆级封装及测试江阴城东厂区江阴城东厂区晶圆

19、级封装、凸块、倒装及测试、引线框封装、基板封装、SiP滁州厂区滁州厂区小功率器件引线框封装、分立器件及测试宿迁厂区宿迁厂区大功率器件引线框封装、集成电路封装、倒装及测试新加坡厂区新加坡厂区晶圆级封装、eWLB、引线框封装、测试韩国仁川厂区韩国仁川厂区SiP,芯片堆叠 PoP、倒装及测试资料来源:公司官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告7图3:公司股权结构(截至 2022 年 12 月 31 日)资料来源:Wind,国信证券经济研究所整理高永岗获任长电科技新任董事长,管理层兼具有深厚本土和国际半导体行业经高永岗获任长电科技新任董事长,管

20、理层兼具有深厚本土和国际半导体行业经验。验。3 月 1 日,中芯国际董事长高永岗先生获任长电科技董事长,接替前董事长周子学先生。公司继续聘任郑力先生出任公司首席执行长、罗宏伟先生为执行副总裁、李春兴先生为首席技术长、吴宏鲲先生为董事会秘书。表2:公司现任主要管理层公司高管公司高管职务职务性别性别出生年份出生年份简介简介高永岗高永岗董事长,董事男1965南开大学管理学博士,于 2021 年 9 月 3 日获委任为中芯国际集成电路制造有限公司代理董事长,于 2009 年出任中芯国际集成电路制造有限公司非执行董事,于 2013 年 6 月 17 日调任为执行董事,于 2014 年 2 月 17 日至

21、今担任中芯国际集成电路制造有限公司首席财务官。亦担任中芯国际集成电路制造有限公司若干子公司及参股公司的董事或董事长。拥有逾 30年企业管理经验,曾担任过多个企业或机构的财务或企业负责人,在财务管理,投融资以及企业管理等领域有较丰富经验及较深入的研究。现任中国会计学会常务理事,上海证券交易所科创板上市委员会委员,亦是香港独立非执行董事协会创会理事,中国电子信息行业联合会副会长等。郑力郑力董事,CEO男19672019 年 9 月 9 日起出任本公司首席执行长,2019 年 9 月 26 日起出任本公司董事,兼任本公司若干附属公司之董事。东京大学经济学硕士,天津大学工业管理工程专业工学士.现任本公

22、司董事,首席执行长(CEO),并兼任本公司若干附属公司之董事.郑力先生是集成电路产业领域的资深专业人士,在美国,日本,欧洲和中国的集成电路产业拥有近 30 年的工作经验.曾担任中芯国际资深副总裁,恩智浦全球高级副总裁兼大中华区总裁,瑞萨电子大中华区 CEO 等高级管理职务.郑力先生目前同时担中国半导体行业协会副理事长,中国集成电路创新联盟副理事长,中国半导体行业协会封测分会轮值理事长,上海市集成电路行业协会副会长,中关村融信金融信息化产业联盟副理事长等职务.罗宏伟罗宏伟董事,执行副总裁 男1960中共党员,中国国籍,高级经济师,本科学历,2016 年 4 月 21 日获委任为本公司执行副总裁,

23、2019 年 5 月 17 日起出任本公司董事,兼任本公司若干附属公司之董事。罗宏伟先生深耕半导体集成电路封测产业已逾三十年,长期领导长电科技采购、销售、生产制造、运营等诸多部门,积累了对中国半导体封测产业非常丰富之管理经验。李春兴李春兴首席技术长男美国凯斯西储大学理论固体物理博士。现任本公司董事,首席执行长(CEO)兼星科金朋 CEO,同时兼任本公司若干附属公司之董事,董事长。历任安靠研发中心负责人,全球采购负责人,高端封装事业群副总,集团副总,高级副总,首席技术长(CTO)。在半导体领域有 20 年的广泛封装经验,拥有较强的国际化项目管理能力和领导能力,在初创,扭转和快速变化的环境中实现收

24、入,利润和业务增长目标方面取得了多项可验证的成功经历。李春兴先生目前拥有专利59 件,并在国际上发表了 19 篇学术论文。吴宏鲲吴宏鲲董事会秘书男1979中国国籍,无境外永久居留权,硕士研究生学历。2019 年 5 月起担任长电科技董事会秘书,曾先后在展讯通信与中芯国际担任不同职务,在半导体领域有接近二十年的工作经验,曾在2018/2019 年分获机构投资者(Institutional Investors)评选的最佳投资者关系专业人士的亚洲区第三名与第二名(硬件/半导体类),并在 2022 年获得该机构评比的中国半导体类公司最佳投资者关系专业人士第一名。资料来源:公司公告,公司官网,国信证券经

25、济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告8股权激励助力股权激励助力团队高效成长,团队高效成长,打造打造全球技术领先企业全球技术领先企业。为满足核心人才队伍和公司整体事业迫切的共同进步需求、强化可持续发展能力,2022 年公司发布股权激励计划,拟向 1382 名中层管理人员及核心技术(业务)授予股票期权数量为3113.00 万份。本次激励计划,以 2020-2021 年两年营业收入均值及扣非净利润均值为业绩基数,考核年度为 2022-2024 年。表3:公司 2022 年股票期权激励计划公司层面业绩考核目标营业收入相对于营业收入相对于 20212021 年

26、增长率(年增长率(X X)净利润相对于净利润相对于 20212021 年的增长率(年的增长率(Y)Y)行权期行权期考核年度考核年度目标值(目标值(XmXm)触发值(触发值(XnXn)目标值(目标值(XmXm)触发值(触发值(XnXn)第一个行权期2022 年19.4%15.5%63%50%第二个行权期2023 年24.6%19.7%75%60%第三个行权期2024 年33.4%26.7%94%75%考核指标考核指标业绩完成度业绩完成度公司层面行权比例公司层面行权比例营业收入相对于 2021 年增长率(X)XXm100%XnXXmX/Xm*100%XXn0%净利润相对于 2021 年增长率(Y)

27、YYm100%YnYYmY/Ym*100%YYn0%各个行权期公司层面行权比例(X*50%+Y*50%)*计划年度释放%资料来源:公司公告,国信证券经济研究所整理全行业景气叠加先进封装占比提升,公司业绩开始突破性提升全行业景气叠加先进封装占比提升,公司业绩开始突破性提升20152015 年,成功收购星科金朋实现合并报表后年,成功收购星科金朋实现合并报表后(其中其中 20152015 年合并星科金朋年合并星科金朋 8-128-12 月月营收营收 3232 亿元亿元),公司营收实现大幅提升。,公司营收实现大幅提升。由于半导体行业景气度下滑导致星科金朋亏损、并购带来费用支出以及内部整合支出,公司 2

28、015-2019 年净利润处于低位,2018 年由于计提商誉及资产减值、消化赎回 4.25 亿美元优先票据溢价及摊销费用、部分金融工具公允价值变动等,归属于上市公司股东净利润出现 9.39亿元亏损。此后,随着公司顺利渡过整合阵痛期,持续优化产品组合,聚焦高附加值应用,积极布局包括移动终端、高性能计算、车载电子、人工智能与物联网等领域,持续提升市场竞争力,在 2020 年开启半导体景气周期中实现盈利能力快速提升。2022 年,长电科技实现营收 337.6 亿元,同比增长 10.7%;实现归母净利润 32.32亿元,同比增长 9.2%。图4:公司营业收入及增速图5:公司净利润及增速资料来源:Win

29、d,国信证券经济研究所整理资料来源:Wind,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告9图6:公司季度营业收入及增速图7:公司季度净利润及增速资料来源:Wind,国信证券经济研究所整理资料来源:Wind,国信证券经济研究所整理自自 20182018 年公司毛利率和净利率显著改善年公司毛利率和净利率显著改善,费用率呈费用率呈大幅大幅优化趋势优化趋势。2018-2022 年,公司毛利率好净利率分别从 11.2%和-3.9%提升至 17.0%和 9.6%。“四费”费用率从 18.0%降至 11.4%。2022 年,公司研发费用率、管理费用率、销售费用

30、率、财务费用率分别为 3.9%、6.6%、0.5%、0.4%。图8:公司销售毛利率、净利率图9:公司期间费用率资料来源:Wind,国信证券经济研究所整理资料来源:Wind,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告10后摩尔时代,先进封装成为产业焦点后摩尔时代,先进封装成为产业焦点芯片封装测试随半导体产业发展重要性日渐提升芯片封装测试随半导体产业发展重要性日渐提升芯片封装和测试是芯片制造的关键一环。芯片封装和测试是芯片制造的关键一环。集成电路对使用环境具有较高的要求,不能长时间裸露在外部环境中空气中的杂质、腐蚀性气体甚至水蒸气都会腐蚀集成电路芯片

31、上的精密蚀刻电路,导致性能下降或者失效。为了防止外部环境对芯片的损害,就必须用特定工艺将集成电路芯片包裹起来。芯片芯片封装封装就是用特定材料、工艺技术对芯片进行安放、固定、密封,保护芯片性能,并将芯片上的接点连接到封装外壳上,实现芯片内部功能的外部延伸。芯片封装完成后,芯片芯片测试测试确保封装的芯片符合性能要求。通常认为,集成电路封装主要有电气特性的保持、芯片保护、应力缓和及尺寸调整配合四大功能。图10:委外封装测试公司一站式封测服务资料来源:公司官网,国信证券经济研究所整理半导体产业垂直分工造就专业委外封装测试企业半导体产业垂直分工造就专业委外封装测试企业(OSAT)(OSAT)。20 世纪

32、 70 年代开始,随着半导体技术日益成熟,晶圆制程和封装工艺进步日新月异,一体化的 IDM 公司逐渐在晶圆制程和封装技术方面难以保持技术先进性。为了应对激烈的市场竞争,大型半导体 IDM 公司逐步将封装测试环节剥离,交由专业的封测公司处理,封测行业变成集成电路行业中一个独立子行业。20 世纪 90 年代,随着全球化进程加快、国际分工职能深化,以及集成电路制程难度的不断提高,集成电路产业链开始向专业化的分工方向发展,逐渐形成了独立的半导体设计企业、晶圆制造代工企业和封装测试企业。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告11图11:半导体产业链分工资料来源:各公司官网,

33、国信证券经济研究所整理封测行业随半导体制造功能封测行业随半导体制造功能、性能性能、集成度需求提升不断迭代新型封装技术集成度需求提升不断迭代新型封装技术。根据中国半导体封装业的发展,迄今为止全球集成电路封装技术一共经历了五个发展阶段。当前,全球封装行业的主流技术处于以 CSP、BGA 为主的第三阶段,并向以系统级封装(SiP)、倒装焊封装(FC)、芯片上制作凸点(Bumping)为代表的第四阶段和第五阶段封装技术迈进。表4:集成电路封装发展阶段阶段时间时间封装封装具体典型的封装形式具体典型的封装形式第一阶段第一阶段20 世纪 70 年代以前通孔插装型封装晶体管封装(TO)、陶瓷双列直插封装(CD

34、IP)、塑料双列直插封装(PDIP)第二阶段第二阶段20 世纪 80 年代以后表面贴装型封装塑料有引线片式载体封装(PLCC)、塑料四边引线扁平封装(PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DFN)第三阶段第三阶段20 世纪 90 年代球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散 热器焊球阵列封装(EBGA)、倒装芯片焊球阵列封装(FC-BGA)晶圆级封装(WLP)芯片级封装(CSP)引线框架 CSP 封装、柔性插入板 CSP 封装、刚性插入板 CSP 封装、圆片级 CSP

35、封装第四阶段第四阶段20 世纪末开始多芯片组封装(MCM)多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制板(MCM-L)系统级封装(S1P)三维立体封装(3D)芯片上制作凸点(Bumping)第五阶段第五阶段21 世纪前 10 年开始微电子机械系统封装(MEMS)晶圆级系统封装-硅通孔(TSV)倒装焊封装(FC)表面活化室温连接(SAB)扇出型集成电路封装(Fan-Out)扇入型集成电路封装(Fan-in)资料来源:甬矽电子招股书,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告12全球半导体封装行业就保持稳定增长,先进封装市场规模将

36、于全球半导体封装行业就保持稳定增长,先进封装市场规模将于 20272027 年首次超过年首次超过传统封装。传统封装。根据 Semiconductor Engineering 预测,全球半导体封装市场规模将由 2020 年 650.4 亿美元增长至 2027 年 1186 亿美元,复合增长率为 6.6%。受益于数据中心、新能源汽车、5G、人工智能产业的发展,先进封装复合增长率超过传统封装,有望于 2027 年市场规模超过传统封装,达到 616 亿美元。图12:全球半导体封装市场规模预测资料来源:Semiconductor Engineering,国信证券经济研究所整理大算力遭遇后摩尔时代,异构集

37、成引吭高歌大算力遭遇后摩尔时代,异构集成引吭高歌“后后摩尔时代摩尔时代”,大算力芯片大算力芯片的发展受的发展受制造成本和制造成本和“存储墙存储墙”、“面积墙面积墙”、“功功耗墙耗墙”和和“功能墙功能墙”制约制约。2015 年以后,集成电路制程的发展进入了瓶颈,7nm、5nm、3nm 制程的量产进度均落后于预期。随着台积电宣布 2nm 制程工艺实现突破,集成电路制程工艺已接近物理尺寸的极限,集成电路行业进入了“后摩尔时代”。图13:不同工艺制程节点设计费用资料来源:IBS,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告13从成本端来看,IC Insig

38、hts 统计,28nm 制程节点的芯片开发成本为 5130 万美元,16nm 节点的开发成本为 1 亿美元,7nm 节点的开发成本需要 2.97 亿美元,5nm 节点开发成本上升至 5.4 亿美元。从技术端来讲,大算力芯片面临“存储墙”、“面积墙”、“功耗墙”和“功能墙”制约。“存储墙存储墙”:处理器算力超过存储芯片存取能力,导致综合算力被存储器制约。据行业预计,处理器的峰值算力每两年增长 3.1 倍,而动态存储器(DRAM)的带宽每两年增长 1.4 倍,存储器的发展速度远落后于处理器,相差 1.7 倍。“面积墙面积墙”:芯片制程相同时,通过增大芯片面积可以集成更多的晶体管数量,从而提升芯片的

39、性能.然而,单颗芯片尺寸受限于光刻机的光罩极限,且芯片制造良率随尺寸增大二降低,从而增加成本。当前最先进的 EUV 光刻机的最大光罩面积为 26 mm33 mm。2020 年,英伟达 A100 GPU 芯片,采用台积电 7nm 工艺,通过常规手段制造了接近 1 个光罩面积的芯片,面积达 25.5 mm32.4 mm。“功耗墙功耗墙”:近年来单个 GPU 和 CPU 的热设计功耗(Thermal Design Power,TDP)逐年增大。预计 2024 年单个 GPU 的 TDP 将突破千瓦级,由多个 GPU 芯片和高带宽存储器(High Bandwidth Memory,HBM)阵列组成的系

40、统,TDP 可能突破万瓦级,热设计者将面临极大的挑战“功能墙功能墙”:单一衬底可实现的功能有限,芯片面积和数量大幅提升造成整系统集成度无法再提高。图14:当前先进芯片发展遇到“存储墙”“面积墙”“功耗墙”和“功能墙”资料来源:曹立强、侯峰泽,先进封装技术的发展与机遇,前瞻科技杂志,2022 年第 3 期集成电路科学与工程专刊”,前瞻科技杂志公众号,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告14异构集成突破制程瓶颈,异构集成突破制程瓶颈,ChipletChiplet 成为关键技术成为关键技术芯粒异构集将成为后摩尔时代集成电路发展的关键路径和突破口芯

41、粒异构集将成为后摩尔时代集成电路发展的关键路径和突破口。芯粒(Chiplet)是指预先制造好、具有特定功能、可组合集成的晶片(Die),应用系统级封装技术(SiP),通过有效的片间互联和封装架构,将不同功能、不同工艺节点的制造的芯片封装到一起,即成为一颗异构集成(Heterogeneous Integration)的芯片。通过芯片异构集成,将传感、存储、计算、通信等不同功能的元器件集成在一起,成为解决只靠先进制程迭代难以突破的平衡计算性能、功耗、成本的难点。图15:Chiplet 异构集成示意资料来源:日月光,国信证券经济研究所整理关键技术关键技术#1#1:硅通孔技术:硅通孔技术(TSV)(T

42、SV)硅通孔技术(硅通孔技术(TSVTSV,ThroughThrough SiliconSilicon ViaVia)为连接硅晶圆两面并与硅衬底和其他)为连接硅晶圆两面并与硅衬底和其他通孔绝缘的电互连结构通孔绝缘的电互连结构,可以穿过硅基板实现硅片内部垂直电互联可以穿过硅基板实现硅片内部垂直电互联,这项技术是这项技术是目前唯一的垂直电互联技术目前唯一的垂直电互联技术,是实现是实现 2.5D2.5D、3D3D 先进封装的关键技术之一先进封装的关键技术之一,主要用主要用于硅转接板于硅转接板、芯片三维堆叠等方面芯片三维堆叠等方面。TSV 的尺寸多为 10m100m 和 30m200m,开口率介于 0

43、.1%-1%。相比平面互连,TSV 可减小互连长度和信号延迟,降低寄生电容和电感,实现芯片间的低功耗和高速通信,增加宽带和封装小型化。图16:硅通孔技术资料来源:曹立强、侯峰泽,先进封装技术的发展与机遇,前瞻科技杂志,2022 年第 3 期集成电路科学与工程专刊”,前瞻科技杂志公众号,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告15借助借助 TSVTSV 技术,英伟达采用台积电第技术,英伟达采用台积电第 4 4 代代 CoWoSCoWoS 技术封装了技术封装了 A100A100 GPU,GPU,实现一实现一颗颗A100A100 GPUGPU 和和

44、6 6 个三星个三星 HBM2HBM2 集成为一颗芯片。集成为一颗芯片。该技术将多颗芯片键合至硅基转接板晶圆上(Si Interposer),形成逻辑 SoC 芯片和 HBM 阵列,通过 RDL 和 TSV 形成互联并连接硅基转接板晶圆凸点。英特尔Foveros技术(3D Face to Face Chip Stackfor heterogeneous integration)亦通过 3D TSV 实现 3D 堆叠异构封装技术。HBMHBM 亦是通过亦是通过 TSVTSV 技术连通堆叠的技术连通堆叠的 DRAMDRAM,实现对,实现对“存储墙存储墙”突破。突破。HBM(High-Bandwid

45、th Memory)高带宽内存,主要针对高端显卡市场。HBM 使用了3D TSV 和 2.5D TSV 技术,通过 3D TSV 把多块内存芯片堆叠在一起,并使用 2.5DTSV 技术把堆叠内存芯片和 GPU 在载板上实现互连。图17:英伟达 A100 GPU CoWoS 封装图18:基于 TSV 技术实现堆叠 HBM资料来源:曹立强、侯峰泽,先进封装技术的发展与机遇,前瞻科技杂志,2022 年第 3 期集成电路科学与工程专刊”,前瞻科技杂志公众号,国信证券经济研究所整理资料来源:AMD,国信证券经济研究所整理关键技术关键技术#2#2:EMIBEMIB嵌入式多芯片互连桥先进封装技术嵌入式多芯片

46、互连桥先进封装技术(EMIBEMIB,EmbeddedEmbedded Multi-DieMulti-Die InterconnectInterconnectBridgeBridge)是是 X-YX-Y 平面延伸的先进封装技术。平面延伸的先进封装技术。EMIB 是由英特尔提出并积极应用的,EMIB 理念跟基于硅中介层的 2.5D 封装类似,是通过硅片进行局部高密度互连。与传统 2.5D 封装的相比,因为没有硅中介层和 TSV,EMIB 技术具有正常的封装良率、无需额外工艺和设计简单等优点,EMIB 硅片面积也更微小、更灵活、更经济。采用 EMIB 技术,CPU、GPU 对工艺要求高,可以使用

47、10nm 工艺,IO 单元、通讯单元可以使用 14nm 工艺,内存部分则可以使用 22nm 工艺,采用 EMIB 先进封装技术可以把三种不同工艺整合到一起成为一个处理器。通过整合通过整合 EMIBEMIB 和和 FovorosFovoros,CO-EMIBCO-EMIB 实现更高集成度异构集成。实现更高集成度异构集成。利用利用高密度的互连技术,将 EMIB2D 封装和 Foveros 3D 封装技术结合在一起,实现高带宽、低功耗,以及相当有竞争力的 I/O 密度。Co-EMIB 能连接更高的计算性能和能力,让两个或多个 Foveros 元件互连从而基本达到 SoC 性能,还能以非常高的带宽和非

48、常低的功耗连接模拟器、内存和其他模块。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告16图19:英特尔 CO-EMIB 技术资料来源:英特尔,国信证券经济研究所整理关键技术关键技术#3#3:超高密度扇出(:超高密度扇出(UHDUHD Fan-OutFan-Out)扇出型封装扇出型封装扇出(扇出(Fan-OutFan-Out)相对于扇入()相对于扇入(Fan-InFan-In)具备实现更多具备实现更多 I/OI/O 等能力,等能力,从而成为多芯粒异构集成重要技术。从而成为多芯粒异构集成重要技术。扇出和扇入型工艺相似,当芯片被加工切割完毕之后,会放置在基于环氧树脂模制化合物的

49、晶圆上,这被称为重构晶圆。然后,在模制化合物上形成再分布层(RDL)。RDL 是金属铜连接走线,将封装各个部分进行电气连接,最后,重构晶圆上的单个封装就会被切割。两者最大的差异在于在扇入型封装中 RDL 向内布线,而在扇出型封装中 RDL 既可向内又可向外布线。因此,扇入型封装最大只能容许约 200 个 I/O,而扇出型封装可以实现更多的 I/O。2020 年,台积电发布集成扇出型晶圆上系统(InFO_SoW),通过超高密度扇出封装技术将多颗好的晶粒、供电、散热模块和连接器紧凑地集成在晶圆上,包含 6层 RDL,前 3 层线宽/线距为 5/5 m,用于细线路芯片间互连;后 3 层线宽/线距请务

50、必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告17为 15/20 m,用于供电和连接器互连。相比印制电路板级多芯片模块,InFO_SoW具有高带宽、低延迟和低功耗的特点。图20:台积电 InFO 技术图21:扇出封装市场增速资料来源:台积电,国信证券经济研究所整理资料来源:Yole,国信证券经济研究所整理UCIeUCIe 联盟汇聚全球科技巨头,推动联盟汇聚全球科技巨头,推动 ChipletChiplet 异构集成快速发展异构集成快速发展UCIeUCIe 联盟成立并发布统一联盟成立并发布统一 ChipletChiplet 互联互通标准,全球科技大厂纷纷加入推互联互通标准,全球科

51、技大厂纷纷加入推动动ChipletChiplet 异构集成进入发展快车道异构集成进入发展快车道。2022 年 3 月 3 日,英特尔、AMD、ARM、高通、台积电、三星、日月光、GoogleCloud、Meta、微软等十大行业巨头联合成立了Chiplet 标准联盟,正式推出了通用 Chiplet 高速互联标准“Universal ChipletInterconnect Express”(通用芯粒互连,简称“UCIe”),旨在定义一个开放、可互操作的芯粒(Chiplet)生态系统标准。2022 年 6 月,长电科技成为首家加入 UCIe 联盟的国内封测企业。UCIeUCIe 标准的确定有望转变目

52、前异构芯片各家单打独斗的局面标准的确定有望转变目前异构芯片各家单打独斗的局面,拥有可靠的数据传拥有可靠的数据传输和链路管理输和链路管理。设计者和芯片制造商都可以利用现有的 PCIe/CXL 软件,将芯片设计走向更加灵活的设计思路,满足多样化定制需求,最大化地将各晶圆厂和科技公司的优势相结合,在高效设计、封装、成本方面达到完美的平衡点。图22:UCle 联盟成员请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告18资料来源:UCle 联盟,国信证券经济研究所整理ChipletChiplet 异构集成的关键技术市场规模进入快速增长期,全球半导体制造巨头纷异构集成的关键技术市场规模

53、进入快速增长期,全球半导体制造巨头纷纷加大投入。纷加大投入。根据 Yole 预计,至 2027 年,全球超高密度扇出、HBM、硅中介层、EMIB/Co-EMIB 等为代表的高性能封装方案市场规模将由 2021 年的 27.4 亿美元增长至 78.7 亿美元,复合增长率为 19%。根据 Yole 统计,2021 年全球头部半导体制造公司在高性能封装投资达到 119 亿美元,其中英特尔、台积电和日月光占据前三。长电科技和通富微电排名全球第 6 和第 7。图23:高性能封装领域市场规模预测图24:高性能封装投资排名资料来源:Yole,国信证券经济研究所整理资料来源:Yole,国信证券经济研究所整理请

54、务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告19先进封装开花结果,先进封装开花结果,ChipletChiplet 进入量产进入量产聚焦关键应用先进封装,成品制造技术布局全面聚焦关键应用先进封装,成品制造技术布局全面长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。2.5/3D2.5/3D 集成技术集成技术:应对当前先进工艺芯片

55、更高集成度,更好电气性能、更低时延,以及更短垂直互连的要求,长电科技积极推动传统封装技术的突破,率先在晶圆级封装、倒装芯片互连、硅通孔等领域中采用多种创新集成技术,以开发差异化的解决方案,帮助客户在其服务的市场中取得成功。公司的 2.5/3D 集成解决方案包括堆叠芯片封装、层叠封装、封装内封装、2.5D/多芯片 eWLB 和 QFP-SD 等。图25:长电科技 2.5/3D 封装资料来源:公司官网,国信证券经济研究所整理晶圆级封装:晶圆级封装:长电科技在提供全方位的晶圆级技术解决方案平台方面处于行业领请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告20先地位,提供的解决方案

56、包括扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)、集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID)。图26:长电科技晶圆级封装资料来源:公司官网,国信证券经济研究所整理系统级封装系统级封装(SiP)(SiP):长电科技在 SiP 封装的优势体现在 3 种先进技术:双面塑形技术、EMI 电磁屏蔽技术、激光辅助键合(LAB)技术 1.双面成型有效地降低了封装的外形尺寸,缩短了多个裸芯片和无源器件的连接,降低了电阻,并改善了系统电气性能。2.对于 EMI 屏蔽,JCET 使用背面金属化技术来有效地提高热导率和 EMI屏蔽。3.长电科技使用激光辅

57、助键合来克服传统的回流键合问题,例如 CTE 不匹配,高翘曲,高热机械应力等导致可靠性问题。图27:长电科技系统级封装资料来源:公司官网,国信证券经济研究所整理倒装封装技术:倒装封装技术:长电科技提供丰富的倒装芯片产品组合,从搭载无源元器件的大型单芯片封装,到模块和复杂的先进 3D 封装,包含多种不同的低成本创新选项。长电科技还拥有配套 Chiplet 必不可少的后道超大尺寸 FCBGA 封装的大规模量产和测试经验,以及用于高速存储芯片的 16 层芯片超薄堆叠及互联技术能力,确保相关技术和生产制造经验在国内外同业中均处于领先地位。公司与客户共同开发请务必阅读正文之后的免责声明及其项下所有内容证

58、券研究报告证券研究报告21了基于高密度 Fan-out 封装技术的 2.5D fcBGA 产品,同时认证通过 TSV 异质键合3D SoC 的 fcBGA,提升了集成芯片的数量和性能,为进一步全面开发 Chiplet 所需高密度高性能封装技术奠定了坚实的基础。图28:长电科技倒装封装技术资料来源:公司官网,国信证券经济研究所整理公司专利增长数量和布局范围在国内大幅领先公司专利增长数量和布局范围在国内大幅领先,位居全球第二位居全球第二。根据智慧芽洞察数据,2022/1/14-2023/1/31 期间,长电科技增长 256 件,累计专利数量 4916 件,均在国内封测行业领先。其中长电科技新增海外

59、专利 60 件,在美国、新加坡、中国台湾、韩国和日本的专利布局量均有增长,并以拥有超过 2500 件专利远超国内友商。目前公司专利数量仅次于日月光,位居全球第二。图29:国内半导体封测领域企业专利数量图30:国内半导体封测领域企业海外专利数量资料来源:智慧芽洞察,国信证券经济研究所整理资料来源:智慧芽洞察,国信证券经济研究所整理ChipletChiplet 异构封装进入稳定量产,提升大算力芯片市场份额异构封装进入稳定量产,提升大算力芯片市场份额公司在先进封装长期积累的结出公司在先进封装长期积累的结出 XDFOIXDFOI ChipletChiplet 硕果硕果。2021 年 7 月,公司集合长

60、期各项先进封装技术积累,正式推出面向 Chiplet(小芯片)的高密度多维异构集成技术平台 XDFOI,利用协同设计理念实现了芯片成品集成与测试一体化,涵盖 2D、2.5D、3D Chiplet 集成技术。长电科技 XDFOI技术可将有机重布线堆叠中介层厚度控制在 50m 以内,微凸点(Bump)中心距为 40m,实现在更薄和更小单位面积内进行高密度的各种工艺集成,达到更高的集成度、更强的模块功能和更小的封装尺寸。同时,还可以在封装体背面进行金属沉积,在有效提高散热效率的同时,根据设计需要增强封装的电磁屏蔽能力,提升芯片成品良率。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究

61、报告22公司公司 ChipletChiplet 高密度多维异构集成系列工艺进入稳定量产阶段高密度多维异构集成系列工艺进入稳定量产阶段,有望提升其在大有望提升其在大算力芯片市场份额算力芯片市场份额。1 月 5 日,公司宣布其 XDFOIChiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货,最大封装体面积约为1500mm的系统级封装。公司充分发挥XDFOI Chiplet 高密度多维异构集成系列工艺的技术优势,有望提升其在集成度和算力有较高要求的 FPGA、CPU、GPU、AI 和 5G 网络芯片等市场的发展。图31:长电科技

62、 XDFOI Chiplet 异构集成解决方案资料来源:公司官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告23盈利预测盈利预测盈利预测假设盈利预测假设我 们 预 计 公 司 2023-2025 年 营 收 同 比 增 长 6.0%/14.6%/16.6%至357.9/410.2/478.5 亿 元,归 母 净 利 润 同 比 增 长 6.2%/23.6%/27.5%至34.3/42.4/54.1 亿元。主要预测假设和逻辑如下:公司目前有六大生产基地,其中江阴本部工厂、滁州工厂和宿迁工厂主要为传统封装,目前半导体景气度处由弱转复苏阶段,预计 20

63、23-2025 年营收同比增长-10%、8%、10%/-8%、10%、12%/-8%、10、10%。长电先进、星科金朋新加坡、长电韩国主要以先进封装为主,拥有众多国内外大客户,需求较为稳定,且先进封装有助于公司封装和测试业务价值量提升,预计 2023-2025 年上述三个厂营收同比增长-8%、8%、10%/10%、15%、18%/10%、17%、18%。综合毛利率预计先降后升,分别为 16.2%、16.3%、17.0%。表5:公司营收拆分2022022 22022023 3E E2022024 4E E2022025 5E E收入(百万元收入(百万元)封测封测33631.733631.7356

64、31.335631.340840.240840.247643.047643.0长电江阴长电江阴3335.93002.33242.53566.7长电滁州长电滁州1073.5987.71086.41216.8长电宿迁长电宿迁1113.41024.31126.71239.4长电先进长电先进1682.01547.41671.21838.3星科金朋星科金朋13550.214905.217141.020226.4长电韩国长电韩国12876.714164.416572.419555.4其他其他130.4156.4179.9206.9合计合计33762.033762.035787.735787.741070.

65、241070.247905.647905.6收入收入 YoYYoY(%)封测封测10.3%10.3%5.9%5.9%14.6%14.6%16.7%16.7%长电江阴长电江阴-20.4%-10.0%8.0%10.0%长电滁州长电滁州-20.7%-8.0%10.0%12.0%长电宿迁长电宿迁-9.2%-8.0%10.0%10.0%长电先进长电先进-20.1%-8.0%8.0%10.0%星科金朋星科金朋18.6%10.0%15.0%18.0%长电韩国长电韩国26.2%10.0%17.0%18.0%其他其他25.0%20.0%15.0%15.0%合计合计10.7%10.7%6.0%6.0%14.8%1

66、4.8%16.6%16.6%请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告24资料来源:公司官网,国信证券经济研究所整理和预测未来未来 3 3 年业绩预测年业绩预测表6:未来 3 年盈利预测表单位:百万元单位:百万元2022022 22022023 3E E2022024 4E E2022025 5E E营业收入营业收入33762.033762.035787.735787.741020.141020.147849.947849.9营业成本营业成本28010.229937.234191.539647.1销售费用销售费用184.1195.1182.6165.2管理费用管理费用

67、811.1824.0821.4814.6研发费用研发费用1313.11356.11472.31669.6财务费用财务费用126.2251.0200.2117.9营业利润营业利润3245.73486.04303.15486.2利润总额利润总额3291.33496.44321.95511.1归属于母公司净利润归属于母公司净利润3231.03231.03432.33432.34242.74242.75410.15410.1EPSEPS1.821.932.383.04ROEROE13%13%12%12%14%14%15%15%资料来源:Wind,国信证券经济研究所整理综 上,我 们 预 计综 上,我

68、们 预 计 2022023-3-2022025 5 年年 营 收 同 比 增 长营 收 同 比 增 长 6.0%/14.6%/16.6%6.0%/14.6%/16.6%至至357.9/410.2/478.5357.9/410.2/478.5 亿 元,归 母 净 利 润 同 比 增 长亿 元,归 母 净 利 润 同 比 增 长 6.2%/23.6%/27.5%6.2%/23.6%/27.5%至至34.3/42.4/54.134.3/42.4/54.1 亿元。亿元。盈利盈利预测预测的的情景分析情景分析我们对盈利预测进行情景分析,以前述假设为中性预测,乐观预测将营收增速和毛利率分别提高 5pct 和

69、 2pct;悲观预测将营收增速和毛利率分别降低 5pct 和2pct。表7:情景分析(乐观、中性、悲观)202021212022022 22022023 3E E2022024 4E E2022025 5E E乐观预测乐观预测营业收入营业收入(百万元百万元)3050233762374764482954534(+/-%)(+/-%)15.26%10.69%11.00%19.62%21.65%毛利率毛利率15.45%18.41%17.04%18.35%18.65%归母归母净利润净利润(百万元百万元)295932359(+/-%)(+/-%)126.83%9.20%34.04%

70、27.63%31.33%请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告25摊薄摊薄 EPSEPS1.661.822.433.114.08中性预测中性预测营业收入营业收入(百万元百万元)3050233762357884102047850(+/-%)(+/-%)15.26%10.69%6.00%14.62%16.65%毛利率毛利率15.45%18.41%17.04%16.35%16.65%归母归母净利润净利润(百万元百万元)295932310(+/-%)(+/-%)126.83%9.20%6.23%23.61%27.51%摊薄摊薄 EPS(EPS(元元)

71、1.661.821.932.383.04悲观的预测悲观的预测营业收入营业收入(百万元百万元)30502337623435(+/-%)(+/-%)15.26%10.69%1.00%9.62%11.65%毛利率毛利率15.45%18.41%17.04%14.35%14.65%归母归母净利润净利润(百万元百万元)295932382(+/-%)(+/-%)126.83%9.20%-19.50%20.12%24.25%摊薄摊薄 EPSEPS1.661.821.461.762.18总股本(百万股)总股本(百万股)017801780资料来源:

72、Wind,国信证券经济研究所预测请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告26估值与投资建议估值与投资建议相对估值:相对估值:合理估值区间合理估值区间 43.30-46.3943.30-46.39 元元公司是全球领先的集成电路制造和技术服务提供商,为全球第三大集成电路封装测试企业,提供扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)、集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID),并且能广泛应用于 5G 移动处理器、WiFi 路由器及功放、车载信息于娱乐系统、可穿戴设备、功能性服务器、通用处理器等。我们选取国内提供

73、相同或相近技术、下游应用接近的封测企业通富微电、华天科技和甬矽电子作为可比公司。通富微电:公司是全球第四大集成电路封装测试企业,UCle 联盟成员,提供封装技术包括 Bumping、WLCSP、FC、BGA、SiP 等先进封测技术,QFN、QFP、SO 等传统封装技术以及汽车电子产品、MEMS 等封装技术。华天科技:公司是全球第六大集成电路封装测试企业,成电路封装产品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS 等多个系列,产品主要

74、应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。甬矽电子:公司拥有的主要核心技术包括高密度细间距倒装凸点互联芯片封装技术、应用于 4G/5G 通讯的射频芯片/模组封装技术、混合系统级封装(Hybrid-SiP)技术、多芯片(Multi-Chip)/高焊线数球栅阵列(WB-BGA)封装技术、基于引线框的高密度/大尺寸的 QFN 封装技术、MEMS&光学传感器封装技术和多应用领域先进 IC测试技术等。图32:长电科技和可比公司扣非归母净利润比较图33:长电科技和可比公司扣非摊薄 ROE 比较注:甬矽电子尚未披露 2022 年年报。资料来源:W

75、ind,国信证券经济研究所整理注:甬矽电子尚未披露 2022 年年报。资料来源:Wind,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告27图34:长电科技和可比公司 ROA 比较图35:长电科技和可比公司总资产周转率注:甬矽电子尚未披露 2022 年年报。资料来源:Wind,国信证券经济研究所整理注:甬矽电子尚未披露 2022 年年报。资料来源:Wind,国信证券经济研究所整理截至 2023 年 3 月 30 日,公司 A 股可比公司对应 2023 年 PE 的估值均值为 33.19倍,估值区间为 32.65-34.34 倍;可比公司对应 2023

76、 年 PB 均值为 2.84 倍,估值区间为 2.00-3.86 倍。长电科技作为国内第一大、全球第三大封测企业,技术、专利、市场布局最广,扣非归母净利润、ROE、ROA、总资产周转率在国内全面领先。当前长电科技对应 2023 年 PE 估值为 17.01 倍,PB 为 2.13 倍,可比公司平均 PE 和 PB 较长电科技分别溢价 94.8%和 33.5%。考虑到当前全球半导体行业仍处于景气度调整阶段,基于审慎原则,给予公司 2.8-3 倍 PB 估值或 22.45-24.05倍 PE 估值,对应股价 43.30-46.39 元,市值 770.49-825.53 亿元。投资建议:投资建议:维

77、持维持“买入买入”评级评级基于相对估值法,我们认为公司股票合理估值区间在 43.30-46.39 元之间,相对于公司 2023 年 3 月 30 日股价有 32%-41%的溢价空间,维持“买入”评级。表8:可比公司估值情况证券代码证券代码可比公司可比公司收盘价收盘价(元元)总市值总市值(亿元亿元)EPSEPS(元)(元)PE(PE(倍)倍)BPSBPS(元)(元)PBPB(倍)(倍)2023E2023E2024E2024E2023E2023E2024E2024E2023E2023E2024E2024E2023E2023E2024E2024E002156.SZ通富微电通富微电21.8330.50

78、.670.9532.5723.028.229.062.662.41002185.SZ华天科技华天科技10.1323.70.310.4332.6523.755.055.312.001.90688362.SH甬矽电子甬矽电子29.2119.10.851.2434.3423.587.578.813.863.32平均-0.610.8733.1923.456.957.732.842.54600584.SH长电科技长电科技32.932.9584.9584.92.382.383.043.0413.7913.7910.8110.8117.4617.4620.0120.011.881.881.641.64资料来

79、源:Wind,国信证券经济研究所整理和预测(数据截止日期:2023 年 3 月 27 日,可比公司盈利预测均来自 wind 一致预期)请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告28风险提示风险提示估值的风险估值的风险我们采取了相对估值方法,多角度综合得出公司的合理估值在 43.30-46.39 元之间,但该估值是建立在相关假设前提基础上的,特别是可比公司的选择和对公司估值倍数的选择。我们根据对公司的综合分析,给出了相对合理的假设,但无法排除部分数据估算不准确的风险。盈利预测的风险盈利预测的风险 我们假设公司未来 3 年收入增长 6%/15%/17%,可能存在对公司产品

80、销量及价格预计偏乐观、进而高估未来 3 年业绩的风险。我们预计公司未来 3 年主营业务毛利率分别为 16.4%/16.7%/17.1%,可能存在对公司成本估计偏低、毛利高估,从而导致对公司未来 3 年盈利预测值高于实际值的风险。市场风险市场风险行业波动风险:行业波动风险:集成电路行业具有周期性波动的特点,且半导体行业周期的频率要远高于经济周期,在经济周期的上行或下行过程,都可能出现完全相反的半导体周期。受行业波动周期的影响,未来半导体行业能否持续回暖具有不确定性,可能对公司经营业绩造成不利影响。半导体行业政策调整风险:半导体行业政策调整风险:公司所处的集成电路行业是国家重点鼓励发展的领域之一。

81、各主管部门为行业发展营造了良好的政策环境,行业主要法律法规和政策鼓励充分的市场竞争,保护企业的合法合规经营,并规划了长远的发展路径,为国内集成电路行业的发展带来了良好的发展机遇。未来,若国家对集成电路相关产业政策的支持力度减弱,将对公司未来发展产生一定不利影响。经营风险经营风险贸易摩擦风险:贸易摩擦风险:公司作为半导体芯片成品制造和测试企业,报告期内公司境外收入占主营业务收入比例较大。如果相关国家与中国的贸易摩擦持续升级,限制进出口或提高关税,公司可能面临设备、原材料短缺和客户流失等风险,进而导致公司生产受限、订单减少、成本增加,对公司的业务和经营产生不利影响。设备供应风险:设备供应风险:半导

82、体芯片成品制造和测试行业对设备有较高要求,部分重要核心设备来自境外。未来,公司的某些核心设备可能会发生供应短缺、价格大幅上涨,或者供应商所处国家与地区与中国发生贸易摩擦、外交冲突、战争等进而影响到相应设备的出口许可,可能会对公司生产经营及持续发展产生不利影响。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告29财务风险财务风险汇率风险:汇率风险:子公司星科金朋及其下属子公司主要采用美元作为记账本位币,且其主要经营活动也在境外开展,而公司母公司合并财务报表采用人民币作为记账本位币。随着人民币日趋国际化、市场化,人民币汇率波动幅度增大,美元对人民币、新元、韩元等货币的汇率变化将

83、导致公司合并财务报表的外币折算风险。技术风险技术风险产品研发未达预期的风险:产品研发未达预期的风险:伴随着行业技术升级速度的加快,公司下游客户也对公司产品升级迭代提出了更高的要求。近年来,基于晶圆级封装技术的多芯片堆叠复杂系统级封装产品已逐渐成为集成电路封测行业的技术发展方向之一。若公司在研发立项时未能充分论证或判断有误,则公司存在因技术研发方向偏差、所研发技术市场适用性差或研发难度过高导致研发项目失败的风险。关键技术人才流失风险:关键技术人才流失风险:集成电路行业是智力密集型行业,人力资源是集成电路企业的发展基础,亦是公司保持持久竞争优势的关键因素之一。公司已构建了一支专业的人才技术团队,未

84、来,若公司内部组织建设情况不佳,内部薪酬考核机制在同行业中丧失竞争力,或员工晋升机制未能得到高效率执行,公司可能将面临关键技术人员流失且无法引入更多高水平技术人员的风险,进而对公司未来发展产生不利影响。请务必阅读正文之后的免责声明及其项下所有内容证券研究报告证券研究报告30财务预测与估值财务预测与估值资产负债表(百万元资产负债表(百万元)202222023E2023E2024E2024E2025E2025E利润表(百万元)利润表(百万元)202222023E2023E2024E2024E2025E2025E现金及现金等价物276

85、3710439营业收入营业收入305023050233762337623578835788485047850应收款项43493750397545565315营业成本24887280139647存货净额340184674营业税金及附加779084103120其他流动资产348754954销售费用3165流动资产合计流动资产合计48497862538225382管理费用41834固定资产19085203

86、252086研发费用614721670无形资产及其他447483463444425财务费用2068其他长期资产33803692369236923692投资收益3190长期股权投资770765765765765资产减值及公允价值变动(280)(294)(166)(235)(301)资产总计资产总计37099370993940839408435384353847233472335335053350其他225272309286300短期借款及交易性金融负债32454269497841644470营业利润31703

87、246348643035486应付款项58774973555163407375营业外净收支046101925其他流动负债222652621利润总额利润总额332922432255115511流动负债合计流动负债合计52227691446614466所得税费用2长期借款及应付债券375212721少数股东损益20000其他长期负债671560归属于母公司净利润归属于母公司净利润295929593231

88、32343424354105410长期负债合计长期负债合计4758475837323732349934993393339332813281现金流量表(百万元现金流量表(百万元)202222023E2023E2024E2024E2025E2025E负债合计负债合计47656020774717747净利润净利润296032310少数股东权益90000资产减值准备268257172220286股东权益20993107135603折旧摊销355036

89、64266530483417负债和股东权益总计负债和股东权益总计37099370993940839408435384353847233472335335053350公允价值变动损失1237(6)1415财务费用2388关键财务与估值指标关键财务与估值指标202222023E2023E2024E2024E2025E2025E营运资本变动337(1019)(56)(188)(218)每股收益1.661.821.932.383.04其它63(312)(423)(421)(404)每股红利0.220.290.310.390.49经营活动现金流经营活动现金流7

90、42974296003578623每股净资产11.8013.8515.4617.4620.01资本开支(4358)(3924)(3871)(4051)(3949)ROIC12%13%12%15%18%其它投资现金流(1958)(1434)000ROE14%13%12%14%15%投资活动现金流投资活动现金流(6316)(6316)(5358)(5358)(3871)(3871)(4051)(4051)(3949)(3949)毛利率18%17%16%17%17%权益性融资49650000EBIT Margin10%10%9%10%11%负债净变化773

91、(1030)000EBITDAMargin22%21%17%18%18%支付股利、利息(392)(525)(557)(689)(879)收入增长15%11%6%15%17%其它融资现金流(5838)507709(814)306净利润增长率127%9%6%24%28%融资活动现金流融资活动现金流(492)(492)(1048)(1048)151151(1503)(1503)(572)(572)资产负债率43%37%37%34%33%现金净变动现金净变动596596(310)(310)2356341024102息率0.7%0.9%1.0%1.2%1.5%货币资金的期初余额21

92、672763245347686331P/E19.818.117.013.810.8货币资金的期末余额276324534768633110433P/B2.82.42.11.91.6企业自由现金流243824565EV/EBITDA11.210.612.310.38.6权益自由现金流(2627)14756资料来源:Wind、国信证券经济研究所预测证券研究报告证券研究报告免责声明免责声明分析师声明分析师声明作者保证报告所采用的数据均来自合规渠道;分析逻辑基于作者的职业理解,通过合理判断并得出结论,力求独立、客观、公正,结论不受任何第三方的授意或影响;作者在

93、过去、现在或未来未就其研究报告所提供的具体建议或所表述的意见直接或间接收取任何报酬,特此声明。国信证券投资评级国信证券投资评级类别类别级别级别说明说明股票股票投资评级投资评级买入股价表现优于市场指数 20%以上增持股价表现优于市场指数 10%-20%之间中性股价表现介于市场指数 10%之间卖出股价表现弱于市场指数 10%以上行业行业投资评级投资评级超配行业指数表现优于市场指数 10%以上中性行业指数表现介于市场指数 10%之间低配行业指数表现弱于市场指数 10%以上重要声明重要声明本报告由国信证券股份有限公司(已具备中国证监会许可的证券投资咨询业务资格)制作;报告版权归国信证券股份有限公司(以

94、下简称“我公司”)所有。本报告仅供我公司客户使用,本公司不会因接收人收到本报告而视其为客户。未经书面许可,任何机构和个人不得以任何形式使用、复制或传播。任何有关本报告的摘要或节选都不代表本报告正式完整的观点,一切须以我公司向客户发布的本报告完整版本为准。本报告基于已公开的资料或信息撰写,但我公司不保证该资料及信息的完整性、准确性。本报告所载的信息、资料、建议及推测仅反映我公司于本报告公开发布当日的判断,在不同时期,我公司可能撰写并发布与本报告所载资料、建议及推测不一致的报告。我公司不保证本报告所含信息及资料处于最新状态;我公司可能随时补充、更新和修订有关信息及资料,投资者应当自行关注相关更新和

95、修订内容。我公司或关联机构可能会持有本报告中所提到的公司所发行的证券并进行交易,还可能为这些公司提供或争取提供投资银行、财务顾问或金融产品等相关服务。本公司的资产管理部门、自营部门以及其他投资业务部门可能独立做出与本报告中意见或建议不一致的投资决策。本报告仅供参考之用,不构成出售或购买证券或其他投资标的要约或邀请。在任何情况下,本报告中的信息和意见均不构成对任何个人的投资建议。任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。投资者应结合自己的投资目标和财务状况自行判断是否采用本报告所载内容和信息并自行承担风险,我公司及雇员对投资者使用本报告及其内容而造成的一切后果不承担

96、任何法律责任。证券投资咨询业务的说明证券投资咨询业务的说明本公司具备中国证监会核准的证券投资咨询业务资格。证券投资咨询,是指从事证券投资咨询业务的机构及其投资咨询人员以下列形式为证券投资人或者客户提供证券投资分析、预测或者建议等直接或者间接有偿咨询服务的活动:接受投资人或者客户委托,提供证券投资咨询服务;举办有关证券投资咨询的讲座、报告会、分析会等;在报刊上发表证券投资咨询的文章、评论、报告,以及通过电台、电视台等公众传播媒体提供证券投资咨询服务;通过电话、传真、电脑网络等电信设备系统,提供证券投资咨询服务;中国证监会认定的其他形式。发布证券研究报告是证券投资咨询业务的一种基本形式,指证券公司、证券投资咨询机构对证券及证券相关产品的价值、市场走势或者相关影响因素进行分析,形成证券估值、投资评级等投资分析意见,制作证券研究报告,并向客户发布的行为。证券研究报告证券研究报告国信证券经济研究所国信证券经济研究所深圳深圳深圳市福田区福华一路 125 号国信金融大厦 36 层邮编:518046总机:上海上海上海浦东民生路 1199 弄证大五道口广场 1 号楼 12 层邮编:200135北京北京北京西城区金融大街兴盛街 6 号国信证券 9 层邮编:100032

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(长电科技-公司研究报告-先进封装深厚积累铺就长期成长之路-230403(32页).pdf)为本站 (章鱼小丸子) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部