上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2023先进封装行业市场现状、竞争格局及相关公司分析报告.pdf

编号:137673 PDF 28页 4.23MB 下载积分:VIP专享
下载报告请您先登录!

2023先进封装行业市场现状、竞争格局及相关公司分析报告.pdf

1、2023 年深度行业分析研究报告 目录目录 一、先进封装概述.1 二、先进封装技术方案及应用.4 三、市场现状分析.9 四、先进封装驱动力分析.11 五、先进封装前景分析.17 六、竞争格局及相关公司.21 1/26 行业研究报告 慧博智能投研 随着芯片制程工艺的发展,“摩尔定律”迭代进度放缓、芯片成本攀升问题逐步显露。“后摩尔时代”从系统应用为出发点,不执着于晶体管的制程缩小,而更应该将各种技术进行异质整合的先进封装技术作为“超越摩尔”的重要路径。先进封装正成为助力系统性能持续提升的重要保障,并满足“轻、薄、短、小”和系统集成化的需求。在当前中国发展先进制程外部条件受限的环境下,发展先进封装

2、部分替代追赶先进制程,应是发展逻辑之一。一、先进封装概述一、先进封装概述 1、什么是半导体封装技术、什么是半导体封装技术 半导体封装是指将生产加工后的晶圆进行切割、焊线、塑封,使电路与外部器件实现连接,并为半导体产品提供机械保护,使其免受物理、化学等环境因素损失的工艺。导读导读 2/26 2、半导体封装技术发展历程:由传统到先进半导体封装技术发展历程:由传统到先进 封装技术的发展史是芯片性能不断提高、系统不断小型化的历史。封装是半导体晶圆制造的后道工序之一,目的是支撑、保护芯片,使芯片与外界电路连接、增强导热性能等。封装技术的发展大致分为 4 个阶段:第一、第二阶段(1990 年以前)以 DI

3、P、SOP 和 LCC 等技术为主,属于传统封装;第三阶段(1990 至 2000 年)已经开始应用先进封装技术,这一阶段 BGA、CSP 和 FC 技术已开始大规模生产;第四阶段(2000 年至今),先进封装技术从二维开始向三维拓展,出现了 2.5D/3D 封装、晶圆级封装、扇出型封装等封装技术。先进封装也称为高密度封装(HDAP,High Density Advanced Package),采用先进的设计和工艺对芯片进行封装级重构,并有效提升系统性能。相较于传统封装,先进封装具有引脚数量增加、芯片系统更小型化且系统集成度更高等特点。3、后摩尔时代,先进封装发展趋势确定后摩尔时代,先进封装发

4、展趋势确定 摩尔定律持续推进带来的经济效能达到瓶颈。摩尔定律持续推进带来的经济效能达到瓶颈。摩尔定律是指随着技术演进,芯片上容纳的晶体管数量会呈指数级增长,每 1.5-2 年翻一倍,同时带来芯片性能提升一倍或成本下降一半的效应。半导体制造中,工艺制程持续微缩导致晶体管密度逼近极限,同时存在短道沟效应导致的漏电、发热和功耗严重问题。AVkXoUlZlYfWoMsQsR7NcM7NpNqQsQpMfQmMsNfQtRrN6MpOmMMYnOrRwMtRnR 3/26 工艺节点较高时,每次工艺节点的提高都会带来成本的非线性增加,在资本支出大幅提高的背景下,技术节点的变迁在逐渐变缓。先进封装成为超越摩

5、尔定律、提升系统性能的关键路径之一。先进封装成为超越摩尔定律、提升系统性能的关键路径之一。目前集成电路发展主要沿着两个技术路线进行,一个是摩尔定律的延伸,即向芯片小型化的方向发展,通过微缩半导体器件的晶体管尺寸以增加可容纳的晶体管数量,以单个芯片性能的提升为目标;另一个是超越摩尔定律,即以先进封装技术的发展为主要方向,将处理、模拟等多种芯片集成在一个系统内,实现系统级封装(System in Package,SiP),以系统性能的提升为目标。后摩尔时代,先进封装成为趋势。后摩尔时代,先进封装成为趋势。先进封装是在不要求提升芯片制程的情况下,实现芯片的高密度集成、先进封装是在不要求提升芯片制程的

6、情况下,实现芯片的高密度集成、体积的微型化,并降低成本,符合高端芯片向尺寸更小、性能更高、功耗更低演进的趋势。体积的微型化,并降低成本,符合高端芯片向尺寸更小、性能更高、功耗更低演进的趋势。传统封装的功能主要在于芯片保护、电气连接,先进封装在此基础上增加了提升功能密度、缩短互联长度、进行系统重构的三项新功能。在后摩尔时代,人们开始由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”,先进封装成为半导体行业发展重点。4/26 二二、先进封装先进封装技术方案技术方案及应用及应用 1、先进封装先进封装技术技术方案方案 目前,带有倒装芯片(目前,带有倒装芯片(FC)结构的封装、晶圆级封装()结构

7、的封装、晶圆级封装(WLP)、系统级封装(、系统级封装(SiP)、)、2.5D 封装、封装、3D 封装等均被认为属于先进封装范畴,封装等均被认为属于先进封装范畴,这些先进封装大量使用这些先进封装大量使用 RDL(再布线)、(再布线)、Bump(凸(凸块块)、TSV(硅通孔)、(硅通孔)、Wafer(晶圆)等基础工艺技术。(晶圆)等基础工艺技术。RDL、TSV、Bump、Wafer 也称为先进封装四要素。1)Bump(凸(凸块块)技术技术,凸块是定向指生长于芯片表面,与芯片焊盘直接或间接相连的具有金属导电特性的突起物。普遍应用于 Flip-Chip(倒装焊)技术中,处于晶圆之间互联的位置,起着电

8、气互联和应力缓冲的作用,其发展趋势是使金属凸点越来越小,直至发展为 HybridBonding(混合键合)技术,该技术制造的电介质表面光滑、没有凸点,且具有更高的集成密度;2)RDL(重布线层)技术,(重布线层)技术,用于 X 与 Y 平面电气延伸和互联。在晶圆表面沉积金属层和相应的介质层,并形成金属布线,对 I/O 端口进行重新布局,将其布局到新的,占位更为宽松的区域,并形成面阵列排布,RDL 广泛应用于 WLP(晶圆级封装)技术和 2.5D/3D 技术中,但不适用于 Flip-Chip 技术;3)Wafer(晶圆)技术,(晶圆)技术,可以用作芯片的基底和 WLP 封装的载体,也可以与硅基板

9、一同实现 2.5D 集成,技术发展趋势是使 Wafer面积逐渐增大;4)TSV(硅通孔)技术,(硅通孔)技术,是一种利用垂直硅通孔实现芯片互连的方法,相比于传统引线连接,具有更短的连接距离、更高的机械强度、更薄的芯片厚度、更高的封装密度,同时还可以实现异种芯片的互连。5/26 (1)倒装芯片倒装芯片(Flip-Chip,FC)常规芯片封装流程中包括贴装、引线键合两个关键的供需,而 FC 则合二为一,直接通过芯片上呈阵列排布的凸点来实现芯片与封装衬底的互联,由于芯片是倒扣在封装衬底上的,与常规芯片放置相反,故称为倒装片。与引线键合工艺相比,倒装工艺具备多个优点:与引线键合工艺相比,倒装工艺具备多

10、个优点:(1)I/O 密度高;(2)互联长度大幅缩短,互连电阻、电感更小;(3)芯片中产生的热量可通过焊料凸点直接传输刀封装沉底,芯片散热性更好。(2)2.5D 封装与封装与 3D 封装封装 2.5D 封装和封装和 3D 封装是高密度封装技术的两种不同形式封装是高密度封装技术的两种不同形式。2.5D 封装:封装:裸片并排放置在具有硅通孔(TSV)的中介层顶部。其底座,即硅中介层(Silicon Interposer),可提供芯片之间的互联。3D 封装:封装:又称为叠层芯片封装技术,3D 封装可采用凸块或硅通孔技术(Through Silicon Via,TSV),TSV 是利用垂直硅通孔完成芯

11、片间互连的方法,由于连接距离更短、强度更高,能实现更小更薄而性能更好、密度更高、尺寸和重量明显减小的封装,而且还能用于异种芯片之间的互连。6/26 (3)晶圆级封装晶圆级封装(Wafer Level Package,WLP)WLP 晶圆级封装,直接在晶圆上进行大部分或全部的封装测试程序,之后再进行切割制成单颗芯片。采用这种封装技术,不需要引线框架、基板等介质,芯片的封装尺寸减小,批量处理也使生产成本大幅下降。WLP 可分为扇入型晶圆级封装扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装扇出型晶圆级封装(Fan-Out WLP)两大类:1)扇入型直接在晶圆上进行封装,封装完成后进行切割

12、,布线均在芯片尺寸内完成,封装大小和芯片尺寸相同;2)扇出型基于晶圆重构技术,将切割后的各芯片重新布置到人工载板上,芯片间距离视需求而定,之后再进行晶圆级封装,最后再切割,布线可在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可提供的 I/O 数量增加。(4)系统级封装系统级封装(SiP)系统级封装属于广义的先进封装,侧重于系统属性。系统级封装属于广义的先进封装,侧重于系统属性。包括处理器、存储器、FPGA 等功能芯片集成在一个封装内,从而实现一个基本完整的功能。但 SiP 并不是先进封装特定的某种技术方案,因为 SiP 可能采用传统的 Wire Bonding(引线键合技术)工艺,也可能

13、采用先进封装的 Flip-Chip 工艺。但随着系统对性能、功耗、体积的要求越来越高,集成密度的需求也越来越高,SiP 也会越来越多地采用先进封装工艺。在下方示意图中,SiP 指代的是封装整体,Chiplet/Chip 是封装中的单元,先进封装是由Chiplet/Chip 组成的,2.5D 和 3D 是先进封装的工艺手段。7/26 (5)Chiplet Chiplet 是是通过总线和先进封装技术实现异质集成的封装形式通过总线和先进封装技术实现异质集成的封装形式。Chiplet 也称为小芯片或芯粒,该技术通过将多个芯片裸片(Die)通过内部互联技术集成在一个封装内,构成专用功能的异构芯片。通过采

14、用 2.5D、3D 等高级封装技术,Chiplet 可实现多芯片之间的高速互联,提高芯片系统的集成度,扩展其性能、功耗优化的空间。相对 SoC 系统级芯片的传统设计方法,Chiplet 技术方案不需要购买 IP 或者自研生产,只需要购买已经实现好的小硅片进行封装集成,且 IP 可以复用。所以 Chiplet 可以看成是一种硬核形式的 IP,但它是以芯片的形式提供的。3D Chiplet 是 Chiplet 进一步的发展。3D Chiplet 是由 AMD 在 2021 年 6 月首先提出的,通过 3D TSV将 Chiplet 集成在一起,同时为了提高互联密度,采用了 no Bump 的垂直互

15、联结构。8/26 2、先进封装的技术与形态根据需求不断迭代先进封装的技术与形态根据需求不断迭代 RDL 和和 TSV 使封装技术在使封装技术在 X-Y-Z 三维空间中具备延伸和发展的可能性。三维空间中具备延伸和发展的可能性。重布线层(RDL)技术使得晶圆级封装得以在 X-Y 平面进行延伸,诞生了 WLCSP、FOWLP、INFO、FOPLP、EMIB 等技术。基于硅通孔(TSV)技术,封装系统沿着 Z 轴进行延伸,实现了二维向三维的拓展,出现了 2.5D 和 3D 集成,并演变出 CoWoS、HBM、Co-EMIB、HMC、Wide-IO、Foveros、SoIC、X-Cube 等技术。从从

16、WLP、SiP、2.5D/3D 等技术方案出发,各厂商根据应用侧需求进一步迭代出更深层的技术。等技术方案出发,各厂商根据应用侧需求进一步迭代出更深层的技术。以晶圆级封装(WLP)技术为例,起初 WLP 技术采用 Fan-in 形态,随着引脚数要求增加,Fan-out 形态逐渐成为主流;而后出于提升系统性能的目标,台积电将多个芯片 Fan-out 工艺集成起来,诞生了 INFO技术;而从节省成本的角度出发,单个芯片的 FOWLP 技术又进一步迭代出面板级封装技术(FOPLP)。9/26 3、先进封装国内外差距较小,主要应用于高性能场景先进封装国内外差距较小,主要应用于高性能场景 梳理主流先进封装

17、形式可以发现,目前主流的先进封装形式主要由国际半导体龙头厂商研发,但各主流先进封装形式主要诞生于近十年,因此国内外差距较小。长电科技等国内厂商能够快速跟进,并进行自主研发。同时,先进封装主要应用于智能手机、5G、高性能计算等场景,因此产品技术壁垒与价值量均高于传统封装。三、市场三、市场现现状状分析分析 1、先进封装市场占比逐渐高于传统封装先进封装市场占比逐渐高于传统封装 10/26 据 Yole 数据,2021 年全球封装市场总营收为 844 亿美元,其中先进封装占比 44%,市场规模达 374 亿美元。据 Yole 预测,2027 年全球封装市场规模为 1221 亿美元,其中先进封装市场规模

18、为 650 亿美元,占比将提升至 53%。2021-2027 年间先进封装市场规模的年化复合增速为 9.6%,将为全球封测市场贡献主要增量。2、受益于国产替代加速及制造业的发展,中国大陆的先进封装市场蓬勃受益于国产替代加速及制造业的发展,中国大陆的先进封装市场蓬勃发展发展 根据 Frost&Sullivan 统计,中国大陆 2020 年先进封装市场规模为 351.3 亿元,预计 2025 年将增长至1,136.6 亿元,2020-2025 年间年化复合增速达 26.47%,高于 Yole 对全球先进封装市场年化复合增速9.6%的预测值。11/26 3、目前倒装封装营收规模最大目前倒装封装营收规

19、模最大,3D 和晶圆级扇和晶圆级扇出型等高阶封装成长速度出型等高阶封装成长速度较快较快 倒装封装目前是先进封装行业营收规模最大的技术方案,嵌入式、倒装封装目前是先进封装行业营收规模最大的技术方案,嵌入式、3D 堆叠和晶圆级扇出型等高阶封装堆叠和晶圆级扇出型等高阶封装成长速度较快。成长速度较快。根据互连技术的分类,目前倒装封装技术的营收规模最大,其次是 3D 堆叠封装及晶圆级扇出型封装。许多普通规格的芯片产品均需要采用倒装封装(Flip-chip)进行内部封装,因此目前倒装封装的市场规模最大。而晶圆级封装(WLP)和嵌入式封装(ED)属于更高阶的封装技术,主要应用于高端芯片封装,目前市场应用规模

20、相对较小。但是从成长速度看,高阶封装技术如嵌入式封装、3D堆叠、晶圆级扇出型封装是发展最快的三种方案,根据 Yole 的预测,2020-2026 年市场规模年化复合增速预计分别为 25%、22%及 15%。四四、先进封装先进封装驱动力驱动力分析分析 1、大算力时代来临,大算力时代来临,HPC 成为半导体第一大需求驱动力成为半导体第一大需求驱动力 2022 年 Q1 开始,HPC 逐渐超越手机成为半导体第一大需求驱动力。5G 手机渗透率逐渐饱和,随着人工智能的发展,需要处理的数据量指数级增长,AI 服务器、高性能计算等算力需求迎来爆发式增长。12/26 智能算力规模快速增长,大算力时代来临。智能

21、算力规模快速增长,大算力时代来临。算力作为人工智能的要素之一,在数字经济发展、产业智能化升级的进程中发挥巨大作用。根据 IDC 预测,到 2026 年,我国智能算力规模将达到 1271.4EFLOPS,2022-2026 年化复合增长率达 47.58%。13/26 2、异构集成通过先进封装工艺实现异构计算以提升算力异构集成通过先进封装工艺实现异构计算以提升算力 以 AI 服务器产业链为代表的硬件产品将充分受益于人工智能发展的浪潮。据预测,在 AI+应用广泛落地的刺激下,AI 服务器 2023 年出货量预计将同比增长 38.4%,2022-2026 年 AI 服务器的年化复合增长率将达 22%。

22、AI 服务器采用的是异构计算架构。服务器采用的是异构计算架构。异构计算(Heterogeneous Computing),是指将 CPU、GPU、FPGA、DSP 等不同架构的运算单元整合到一起进行并行计算。主要由 CPU 完成不可加速部分的计算以及整个系统的控制调度,由 GPU/FPGA/DSP 完成特定的任务和加速,具备计算能力强、可扩展性好、资源利用率高、发展潜力大等优点。14/26 异构集成通过先进封装工艺将多个高性能算力芯片集成在一个系统中,实现异构计算以提升算力。异构集成通过先进封装工艺将多个高性能算力芯片集成在一个系统中,实现异构计算以提升算力。异构集成(Heterogeneou

23、s Integration),准确的全称为异质异构集成,异构代表采用的不同工艺节点,异质代表不同模块使用的半导体材料不同。异构集成通过先进封装工艺将不同工艺节点、不同材质的高性能芯片集成在一起,使在单个封装内构建复杂系统成为了可能,能够快速达到异构计算系统内的芯片所需要的功耗、体积、性能的要求,从而使异构计算可以通过整合不同架构的运算单元来进行并行计算,达到提升算力的目的。在存算一体大算力领域,已有国内企业走在前列。在存算一体大算力领域,已有国内企业走在前列。存算一体架构将不同类型的处理器和存储组件等集成到同一个芯片上,是异构集成的一种形式,目前在该领域已有国内企业取得突破。2023 年 5

24、月,后摩智能正式发布国内首款存算一体智驾芯片鸿途 H30。该芯片仅用 12nm 工艺制程,其物理算力实现了高达 256TOPS,在 Int8 全精度的计算提供下,计算延时只有 1.5ns,能效比为 30-150TOPS/W,比业界同等精度计算条件下的水平提高了 3 倍以上。H30 芯片采用的是后摩智能自研的 AI 处理器架构IPU,将面向智能驾驶、通用人工智能等领域。与国际巨头的某款智能驾驶 8nm 芯片相比,在Resnet50 网络的条件下,后摩智能 H30 的性能可以达到友商的 2 倍以上。15/26 3、Chiplet 有望成为高端算力芯片的主流封装方案,助力国产芯片有望成为高端算力芯片

25、的主流封装方案,助力国产芯片“破局破局”高性能计算的应用场景不断拓宽,对算力芯片性能提出更高要求,进而拉动了先进封装及高性能计算的应用场景不断拓宽,对算力芯片性能提出更高要求,进而拉动了先进封装及 Chiplet 工工艺的需求。艺的需求。随着 AI 大模型数据处理需求的持续提升,对算力芯片性能提出更高要求。Chiplet 是高性能算力芯片的封装解决方案之一,其在设计、生产环节均进行了效率优化,能有效降低成本并持续提高系统集成度。Chiplet 需要采用先进封装工艺中的异构集成技术进行实现,因而 Chiplet 的高增长亦将带动异构集成的需求提升。根据 Omdia 预测,随着人工智能、高性能计算

26、、5G 等新兴应用领域需求渗透,2035 年全球 Chiplet 市场规模有望达到 570 亿美元,2018-2035 年复合年均增长率为 30.16%,发展势头强劲。与传统与传统 SoC 相比,相比,Chiplet 在设计灵活度、良率等方面优势明显。在设计灵活度、良率等方面优势明显。(1)降低单片晶圆集成工艺良率风险,达到成本可控,有设计弹性,可实现芯片定制化;(2)Chiplet 将大尺寸的多核心的设计,分散到较小的小芯片,更能满足现今高效能运算处理器的需求;(3)弹性的设计方式不仅提升灵活性,且可实现包括模块组装、芯片网络、异构系统与元件集成四个方面的功能。16/26 针对先进制程,针对

27、先进制程,Chiplet 更具成本优势。更具成本优势。是 Chiplet 允许使用不同的制程制造异构芯片,例如高性能模块采用 7nm,其他模块只需要 14nm 或 28nm 就可以做到性能最大化,使系统整体的功能密度非常接近于 7nm 的集成。AMD 采用“7nm+14nm”的 Chiplet 设计方案,较 7nm 的单芯片集成的成本下降了接近一半。AMD 认为是否使用 Chiplet 设计思想的动机,在于性能、功耗与造价能否妥协。Chiplet 对成本下降的效果会随着核数(芯片核心的数量)的降低而边际减小,因此未来可能会出现一个价格的均衡点来判断采用 Chiplet 技术是否更具有经济效益。

28、中美科技摩擦加剧背景下国内先进制程发展受限,中美科技摩擦加剧背景下国内先进制程发展受限,Chiplet 是国产芯片是国产芯片“破局破局”路径之一。路径之一。近年来国外限制我国芯片先进制程的发展,使我国高端芯片领域面临“卡脖子”问题。Chiplet 降低了芯片设计的成本与门槛,且其 IP 复用的特性提高了设计的灵活性,是国产芯片“破局”路径之一。2023 年 2 月,北极雄芯发布了国内首款基于异构 Chiplet 集成的智能处理芯片。该芯片采用 12nm 工艺生产,HUB Chiplet 采用 RISC-VCPU 核心,可通过灵活搭载多个 NPU Side Die 提供 8-20TOPS(INT

29、8)稠密算力。该芯片可用于 AI 推理、隐私计算、工业智能等不同场景,有效解决了下游客户在算法适配、迭代周期、算力利用率、算力成本等各方面难以平衡的核心痛点。17/26 五五、先进封装先进封装前景前景分析分析 1、在先进封装工艺中,对传统封装设备的使用需求和精度要求都有所提在先进封装工艺中,对传统封装设备的使用需求和精度要求都有所提升升 在先进封装工艺中,对传统封装设备的使用需求和精度要求都有所提升。在先进封装工艺中,对传统封装设备的使用需求和精度要求都有所提升。传统封装测试主要位于晶圆制造链的后道工序,包括减薄、切割、贴片、键合、打标、测试等步骤,需要使用减薄机、划片机、贴片机、引线键合机、

30、激光打标机等半导体设备。随着先进封装的发展,在传统封装工艺的基础上也会有所改进。先进封装发展增大封装设备需求。先进封装发展增大封装设备需求。(1)先进封装中,芯片层数增加,芯片厚度需要更加轻薄以减小体积,因此减薄设备需求增加;(2)ChipLET 中,芯片变小且数量变多,划片时需要将晶圆切割为更多小芯片,先进封装中划片机需求的数量和精度都会提升;(3)芯片变小且数量提高之后,对固晶机的需求量和精度要求都会提升。先进封装发展推动测试设备需求增长。先进封装发展推动测试设备需求增长。在 SIP 或 ChipLET 中,一个塑封体中封装了多个小芯片,若其中部分芯片不良,则会导致整个大芯片整体无法正常工

31、作。因此先进封装中,需要对小芯片进行全检,测试设备需求增加。2、先进封装带来新设备需求先进封装带来新设备需求 18/26 在先进封装工艺中,除了传统封装设备,还需要使用晶圆制造前道工艺的设备。在先进封装工艺中,除了传统封装设备,还需要使用晶圆制造前道工艺的设备。先进封装使用的设备与晶圆制造的前道工艺开始有所重叠,而不只是传统封装所需要的减薄机、划片机、贴片机等,刺激设备需求应封装技术发展而增长。(1)Bump(凸块)(凸块)工艺工艺 根据材料,凸块可分为金凸块、铜镍金凸块、铜柱凸块、焊球凸块。凸块是芯片倒装必备工艺,是先进封装的核心技术之一。金金/铜凸块工艺:铜凸块工艺:(1)采用溅射或其他物

32、理气相沉积的方式在晶圆表面沉积一层 Ti/Cu 等金属作为电镀的种子层;(2)在晶圆表面涂一定厚度的光刻胶,并运用光刻曝光工艺形成所需要图形;(3)对晶圆进行电镀,通过控制电镀电流大小、电镀时间等,从光刻胶开窗图形底部生长并得到一定厚度的金属层;(4)去除多余光刻胶。锡凸块工艺:锡凸块工艺:与铜柱凸块流程相似,凸块结构主要由铜焊盘和锡帽构成(一般配合再钝化和 RDL 层),差别主要在于焊盘的高度较低,同时锡帽合金是成品锡球通过钢板印刷,在助焊剂以及氮气环境下高温熔融回流与铜焊盘形成的整体产物。锡凸块一般是铜柱凸块尺寸的 35 倍,球体较大,可焊性更强(也可以通过电镀形成锡球)。铜镍金凸块工艺:

33、铜镍金凸块工艺:采用晶圆凸块的基本制造流程,电镀厚度超过 10m 以上的铜镍金凸块。新凸块替代了芯片的部分线路结构,优化了 I/O 设计,大幅降低了导通电阻。19/26 (2)RDL(重布线层)(重布线层)工艺工艺 RDL 的工艺流程:的工艺流程:(1)形成钝化绝缘层并开口;(2)沉积粘附层和种子层;(3)光刻显影形成线路图案并电镀填充;(4)去除光刻胶并刻蚀粘附层和种子层;(5)重复上述步骤进行下一层的 RDL 布线。(4)TSV(硅通孔)工艺(硅通孔)工艺 TSV 的制作工艺流程:的制作工艺流程:在硅片上刻蚀通孔,侧壁沉积金属粘附层、阻挡层和种子层,TSV 通孔中电镀铜金属作为导体,使用化

34、学机械抛光(CMP)将硅片减薄,最后叠层键合。TSV 关键工艺:1)通孔刻蚀:深反应离子刻蚀(DRIE)、激光刻蚀、湿法刻蚀。2)绝缘层、阻挡层和种子层沉积:等离子体化学气相沉积(PECVD)、金属有机化学气相沉积(MOCVD)、物理气相沉积(PVD)。3)通孔内导电物质填充:MOCVD 填充钨、LPCVD 填充多晶硅、电镀填充铜。4)晶圆减薄:化学机械抛光(CMP)。5)叠层键合:氧化物键合、金属键合、粘合剂键合。20/26 从工艺流程来看,在 RDL、Bumping、TSV 等互连技术中,均需要使用涂胶机、光刻机等设备;TSV技术需要钻孔,还增加了刻蚀机的需求。此外对传统封装设备中的减薄机

35、、划片机也需要进行一定改进,比如将设备进一步设计为带凸点晶圆减薄机、带凸点晶圆划片机等,同时对厚度、划切道宽度等均提出了更高的精度要求。3、产业转移产业转移+下游驱动,半导体封装设备国产化持续推进下游驱动,半导体封装设备国产化持续推进 半导体产业总共经历了三次产业迁移。目前,全球正经历半导体产业链的第三次转移,由中国台湾、韩国向中国大陆迁移,持续的产能转移不仅带动了中国大陆集成电路整体产业规模和技术水平的提高,为集成电路装备制造业提供了巨大的市场空间。美国对中国半导体产业的限制不断升级。美国对中国半导体产业的限制不断升级。2022 年 10 月 7 日,美国商务部工业与安全局(BIS)公布了对

36、向中国出口的先进计算和半导体制造物项实施新的出口管制,此次出口管制新规中,美国商务部以国家安全为由,对向中国出口的芯片和相关生产工具增加了限制,内容主要包括:(1)限制中国企业获取高性能芯片和先进计算机;(2)限制美国人为涉及中国的特定半导体活动提供支持;(3)限制中国获取先进半导体制造物项与设备;(4)新增 31 家中国实体公司、研究机构列入 UVL(未经核实清单)名单等。这是自 2018 年以来,美国对中国半导体产业制裁的再次升级,半导体作为国家信息产业基石,自主可控迫在眉睫。在在美国对中国半导体产业美国对中国半导体产业限制限制的情况下,的情况下,半导体设备国产化率提升。半导体设备国产化率

37、提升。2012-2022 年间,中国半导体市场规模占全球比重呈波动性上涨,其中 2021 年占比达到 29%的历史高点,2022 年虽然略有回落,但依旧连续 3 年成为全球最大半导体设备市场。21/26 封测是封测是我国半导体产业竞争力最强的环节,下游市场的成熟为封装设备国产化奠定良好基础。我国半导体产业竞争力最强的环节,下游市场的成熟为封装设备国产化奠定良好基础。与设计和晶圆制造相比,封装行业进入壁垒较低,因此在中国集成电路发展早期,众多企业选择以封测环节作为切入口,并不断加强对海内外企业并购动作,以持续扩大公司规模。目前封测已成为中国大陆半导体产业链中竞争力最强的环节,根据数据,2022

38、年长电科技长电科技、通富微电通富微电、华天科技华天科技三家企业占全球封测市场的 21.07%。六六、竞争格局及竞争格局及相关公司相关公司 1、晶圆厂和封测厂积极布局先进封装,侧重点各有不同晶圆厂和封测厂积极布局先进封装,侧重点各有不同 封测厂及晶圆厂龙头均积极布局先进封装。封测厂及晶圆厂龙头均积极布局先进封装。根据 Yole 数据,2021 年各行业龙头在先进封装行业的资本支出合计约为 119 亿美元。晶圆厂阵营方面晶圆厂阵营方面,英特尔英特尔以 35 亿美元的资本支出排名第一,主要用以支持Foveros 和 EMIB 技术。台积电台积电、三星三星以 30.5 亿美元和 15 亿美元的资本支出

39、分别排名第二、第四。而封测厂阵营方面封测厂阵营方面,日月光日月光以 20 亿美元的资本支出排名第三,其是最大也是唯一能够与代工厂和集成设 22/26 备制造商形成竞争的 OSAT。中国大陆封测厂长电科技长电科技和通富微电通富微电在先进封装资本支出方面则分居第 6、7 名。晶圆厂阵营及封测厂阵营关注侧重点各有不同。晶圆厂阵营及封测厂阵营关注侧重点各有不同。晶圆厂由于在前道环节的经验更丰富,能更快掌握需要刻蚀等前道步骤的 TSV 技术,因而在 2.5D/3D 封装技术方面较为领先,如英特尔英特尔的 Foveros 技术和台积电的 CoWoS 技术,均是高维集成的领先技术。而后道封装厂商则更熟悉异质

40、异构集成且封装技术布局全面,因此在 SiP 技术的发展方面更有优势,比如日月光日月光半导体推出的“VIPack”先进封装平台,就将FOSiP 等系统级封装技术列为核心技术之一。在后摩尔时代,先进封装为封装行业带来核心增量,亦成为晶圆厂和封测厂的兵家必争之地。预计未来晶圆制造厂的工艺程序将会演变成从制造到封装的一体化工程,而 OSAT 则会呈现马太效应,技术迭代能力强、客户资源丰富的龙头企业更具优势,市场份额有望更加集中。2、OSAT 竞争格局较为稳定,中国大陆封测厂营收名列前茅竞争格局较为稳定,中国大陆封测厂营收名列前茅 根据 2022 年海内外已经上市的封测厂(OSAT,Outsourced

41、 Semiconductor Assemblyand Test)营收情况,OSAT 行业整体营收排名变化不大,竞争格局较为稳定。中国大陆封测厂中有长电科技长电科技、通富微通富微电电、华天科技华天科技和甬矽电子甬矽电子进入前三十名的榜单,其中长电科技、通富微电和华天科技稳居榜单前十。从毛利率和研发营收比来看,中国大陆封测厂的研发投入水平处于国际领先水平,但毛利率与海外巨头相比仍有提升空间。23/26 中国大陆封测厂蓬勃发展,以长电科技最为突出。中国大陆封测厂蓬勃发展,以长电科技最为突出。在芯思想发布的委外封测前十大榜单中,中国大陆市占率占比 24.55%,仅次于中国台湾地区。在中国大陆封测厂中,

42、长电科技市占率占比 44%,是国内封测行业的龙头企业。24/26 3、相关公司、相关公司(1)长电科技:技术实力深厚,具备先进封装能力长电科技:技术实力深厚,具备先进封装能力封测龙头公司,业务覆盖面广。封测龙头公司,业务覆盖面广。长电科技是一家全球领先的芯片封测厂商,根据芯思想研究院发布的2022 年全球委外封测榜单,长电科技市占率 10.71%,在全球前十大 OSAT 厂商中排名第三,中国大陆第一。公司业务包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试,涵盖了高、中、低各种半导体封测类型,终端应用涉及网络通讯、移动终端、高性能

43、计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域,覆盖面广。公司目前在韩国、新加坡、中国江阴、滁州、宿迁均设有运营中心,可向世界各地的半导体客户提供直运服务。聚焦关键应用领域,面向全球市场,提供高端定制化封装测试解决方案和配套产能长电科技聚焦关键应用领域。聚焦关键应用领域,面向全球市场,提供高端定制化封装测试解决方案和配套产能长电科技聚焦关键应用领域。公司在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产。

44、长期看长电科技通过与全球客户深入合作磨练出的工艺技术核心能力,形成差异化竞争优势,有望持续受益焦高性能封装技术高附加值应用占比提升。客户群优质多元。客户群优质多元。公司业务覆盖地区广泛,在全球拥有稳定的多元化优质客户群,涵盖集成电路制造商、无晶圆厂公司及晶圆代工厂,遍布世界主要地区且许多都是各自领域的市场领导者。公司第一二大股东分别为国家集成电路产业投资基金股份有限公司和芯电半导体(上海)有限公司。(2)新益昌:国产固晶机龙头,半导体固晶机快速发展新益昌:国产固晶机龙头,半导体固晶机快速发展25/26 公司是国内固晶机龙头。公司成立于 2006 年,目前公司已经成为国内 LED 固晶机、电容器

45、老化测试智能制造装备领域的领先企业,同时凭借深厚的研发实力和持续的技术创新能力,成功进入了半导体固晶机和 MiniLED 固晶机市场。此外,公司部分智能制造装备产品核心零部件如驱动器、高精度读数头及直线电机、音圈电机等已经实现自研自产,是国内少有的具备核心零部件自主研发与生产能力的智能制造装备企业。公司半导体固晶机快速发展,行业认可度高。公司半导体固晶机快速发展,行业认可度高。半导体固晶机市场规模大,进口依赖度较高。根据 Yole development,预计 2024 年全球半导体固晶机市场规模为 10.83 亿美元。国内企业主要向 ASMPT 和BESI 采购半导体固晶机,进口依赖度较高。

46、公司在半导体设备领域已具有较强的市场竞争力及较高的品牌知名度,封测业务涵盖 MEMS、模拟、数模混合、分立器件等领域,客户包括晶导微、灿瑞科技、扬杰科技、通富微、固锝电子、华天科技等知名公司。公司半导体固晶设备近年来客户导入顺利,受到业内认可,业务收入得到快速增长。(3)通富微电:行业地位快速上升,先进封装实力强劲通富微电:行业地位快速上升,先进封装实力强劲通富微电成立于 1997 年,2007 年深交所上市。公司主营业务为集成电路封装测试,封装方面目前已拥有 Bumping、WLCSP、FC、BGA、SiP 等先进封测技术,QFN、QFP、SO 等传统封测技术以及汽车电子产品、MEMS 等封

47、测技术;测试方面目前已覆盖圆片测试、系统测试等测试技术。通富微电产品线更专注于 FC、Bumping 和存储方向,受益下游客户 AMD 和存储客户弹性大。通富微电在全球前十大封测企业中营收增速连续 3 年保持第一,2022 年营收规模首次进入全球四强。先进封装技术领先,多样化布局。先进封装技术领先,多样化布局。公司提前布局多芯片组件、集成扇出封装、2.5D/3D 等先进封装技术方面,可为客户提供多样化的 Chiplet 封装解决方案,并且已为 AMD 大规模量产 Chiplet 产品。FCBGA 封装技术方面行业领先,已完成 5nm 制程的 FC 技术产品认证,逐步推进 13 颗芯片的 MCM

48、 研发,FCBGA-MCM 高散热技术方面具备了 IndiumTIM 等行业前沿材料的稳定量产能力。Fan-out 技术达到世界先进水平,高密度扇出型封装平台完成 6 层 RDL 开发;2.5D/3D 先进封装平台取得突破性进展,BVR 技术实现通线并完成客户首批产品验证,2 层芯片堆叠的 CoW 技术完成技术验证。国内封测行业龙头,先发优势明显。国内封测行业龙头,先发优势明显。通富微电在高性能计算、5G 通讯产品、存储器和显示驱动、汽车电子、功率 IC 等先进产品领域已有较为完善的产业生态链和深度合作的优质客户。通富微电与 50%以上世界前 20 强半导体企业和绝大多数国内知名 IC 设计公

49、司均有合作,客户粘性强,将持续受益于先进封装的产业趋势和国产化需求的推进。(4)甬矽电子:封测界后起之秀,聚焦中高端业务甬矽电子:封测界后起之秀,聚焦中高端业务聚焦先进封装,产品结构完善优质。聚焦先进封装,产品结构完善优质。甬矽电子成立于 2017 年 11 月,主要聚焦集成电路封测中的先进封装领域,主要终端包括消费类电子、汽车电子、工规产品等。公司在国内独立封测企业中排名第 11,在内资独立封测企业中排名第 6,技术实力和规模均在前列。且销售收入主要来自于中高端封装产品,并在射频前端芯片封测、AP 类 SoC 芯片封测、触控 IC 芯片封测、WiFi 芯片封测、蓝牙芯片封测、MCU等物联网(

50、IoT)芯片封测等新兴应用领域具有良好的市场口碑和品牌知名度。公司从成立之初即聚焦集成电路封测业务中的先进封装领域,全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先进封装形式,并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细间距扁平无引脚封装产品(QFN/DFN)等先进封装领域具有较为突出的工艺优势和技术先进性,产品结构较为优化。近年来,公司陆续完成了倒装和焊线类芯片的系统级混合封装、5 纳米晶圆倒装等技术的开发,并实现稳定量产。同时,公司已经掌握系统级封装电磁26/26 屏蔽(EMI Shielding)技

51、术、芯片表面金属凸点(Bumping)技术,并积极开发 Fan-in/Fan-out、2.5D/3D 等晶圆级封装技术、高密度系统级封装技术、大尺寸 FC-BGA 封装技术等,为公司未来业绩可持续发展积累了较为深厚的技术储备。客户资源优秀。客户资源优秀。凭借稳定的封测良率、灵活的封装设计实现性、不断提升的量产能力和交付及时性,恒玄科技、晶晨股份、富瀚微、联发科、北京君正、鑫创科技、全志科技、汇顶科技、韦尔股份、唯捷创芯、深圳飞骧、翱捷科技、锐石创芯、昂瑞微、星宸科技等行业内知名芯片企业建立了合作关系,并多次获得客户授予的最佳供应商等荣誉。(5)华天科技:持续发力先进封装,推出华天科技:持续发力

52、先进封装,推出 3D-Matrix 先进封装技术平台先进封装技术平台华天科技为国内第三大、全球第六大的封测厂,现已掌握了 SiP、FC、TSV、Bumping、Fan-Out、WLP、3D 等集成电路先进封装技术。在 Fan-Out 领域,华天科技拥有完全自主知识产权的晶圆级扇出型封装解决方案-eSiFO(embedded Silicon Fan-Out),可以提供 8 寸,12 寸晶圆级扇出封装的服务。此外公司实现了 3DFOSiP 封装工艺平台的开发,现已具备由 TSV、eSiFo、3DSiP 构成的最新先进封装技术平台3DMatrix。未来华天科技将持续加强技术创新工作,推进 2.5DI

53、nterposer(RDL+MicroBump)项目的研发,布局 UHDFO、FOPLP 封装技术,加大在 FCBGA、汽车电子等封装领域的技术拓展,提升公司在先进封装领域的竞争力。(6)晶方科技:聚焦传感器封装,持续拓展差异化竞争优势晶方科技:聚焦传感器封装,持续拓展差异化竞争优势晶方科技是晶圆级硅通孔(TSV)封装技术的领先者,具备 8 英寸、12 英寸晶圆级芯片尺寸封装技术规模量产封装线,涵盖晶圆级到芯片级的一站式综合封装服务能力。公司重点聚焦以影像传感芯片为代表的智能传感器市场,封装的产品主要包括 CIS 芯片、TOF 芯片、生物身份识别芯片、MEMS 芯片等,同时针对汽车电子应用领域的性能提升需求,大力推进车规 STACK 封装工艺的开发创新,持续提升在车规 CIS 领域的技术领先优势与业务规模。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2023先进封装行业市场现状、竞争格局及相关公司分析报告.pdf)为本站 (2200) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部