上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

先进封装设备行业深度:先进封装趋势起资本开支繁荣期助力设备-231213(44页).pdf

编号:148597 PDF    DOCX 44页 2.38MB 下载积分:VIP专享
下载报告请您先登录!

先进封装设备行业深度:先进封装趋势起资本开支繁荣期助力设备-231213(44页).pdf

1、 电子电子|证券研究报告证券研究报告 行业深度行业深度 2023 年年 12 月月 13 日日 强于大市强于大市 公司名称公司名称 股票代码股票代码 股价股价 评级评级 芯源微 688037.SH 人民币 145.00 增持 盛美上海 688082.SH 人民币 111.10 增持 赛腾股份 603283.SH 人民币 75.78 买入 资料来源:Wind,中银证券 以2023年12月11日当地货币收市价为标准 相关研究报告相关研究报告 存储行业事件点评存储行业事件点评20231117 边缘边缘 AI 行业点评行业点评20231116 智能硬件新品智能硬件新品 Ai Pin 发布前瞻发布前瞻2

2、0231109 中银国际证券股份有限公司中银国际证券股份有限公司 具备证券投资咨询业务资格具备证券投资咨询业务资格 证券分析师:苏凌瑶证券分析师:苏凌瑶 证券投资咨询业务证书编号:S03 联系人:茅珈恺联系人:茅珈恺 一般证券业务证书编号:S16 联系人:李圣宣联系人:李圣宣 一般证券业务证书编号:S20 先进封装设备行业深度先进封装设备行业深度 先进封装趋势起,资本开支繁荣期助力设备 后摩尔时代,先进封装后摩尔时代,先进封装重要性日益凸显。随着半导体巨头入局先进封装赛道,重要性日益凸显。随着半导体巨头入局先进封装赛道,行业资本

3、开支迎来繁荣期,这也为设备厂商提供了良好的成长环境行业资本开支迎来繁荣期,这也为设备厂商提供了良好的成长环境。支撑评级的要点支撑评级的要点 后摩尔时代,后摩尔时代,Chiplet 先进封测大势所趋。先进封测大势所趋。随着先进制程升级难度持续增加,设计和投片成本日益高昂,先进封装成为后摩尔时代弥补芯片性能和成本的重要解决方案之一。先进封装将芯片间的通信方式从传统的引线或基板升级为 Wafer,从而实现通信速度的提升。根据集微咨询预估,未来用于 5G、高性能运算、智能驾驶、AR/VR、物联网等场景的高端芯片需求将持续增加,其大量依赖于先进封装。根据 Yole 的预估,20222026年,全球先进封

4、装市场规模将从 379 亿美元增长至 482 亿美元,CAGR 达到 6.2%。国际巨头纷纷布局先进封装赛道,行业资本开支迎来繁荣期。国际巨头纷纷布局先进封装赛道,行业资本开支迎来繁荣期。随着业内认识到先进封装对于对抗摩尔定律放缓的重要性,全球半导体主要厂商纷纷提高对先进封装的资本开支。根据 Yole 数据,2021 年全球包括 Intel、TSMC、Samsung 等在内的主要厂商在先进封装领域资本开支达到 110 多亿美元。2022 年全球包括 Intel、TSMC、Samsung 等在内的主要厂商在先进封装领域资本开支达到 150 多亿美元。国产设备商在先进封测领域大有可为。国产设备商在

5、先进封测领域大有可为。根据 SEMI 预估,2023 年全球后道封装设备市场规模将达到 45.9 亿美元,并在 2024 年增长至 53.4 亿美元。国产设备厂商纷纷布局先进封装设备赛道。北方华创面向先进封装的UBM/RDL 金属沉积设备、TSV 金属沉积设备、TSV 刻蚀设备、全新DESCUM 设备已经正式投放市场或已经完成研发。芯源微面向先进封装的单片湿法刻蚀设备、单片湿法去胶机、单片清洗机、涂胶显影设备已经正式投放市场。盛美上海在先进封装电镀设备和清洗设备领域亦有诸多布局,公司已经成功开发先进封装电镀设备、3D TSV 电镀设备,多款设备也处于研发和量产前期。投资建议投资建议 推荐芯碁微

6、装。直写光刻有望成为解决先进封装产能桎梏的关键,PCB 产业转移趋势有望为公司传统业务带来增量需求。推荐芯源微。公司前道涂胶显影设备有望实现对东京电子的国产替代,后道涂胶显影设备持续突破新兴客户,清洗设备竞争力稳健提升。推荐盛美上海。公司清洗设备有望持续受益于国产替代需求,先进封装湿法设备在行业资本开支繁荣期内亦有望稳健增长,先进电镀设备也进入量产验证阶段,放量在即。推荐赛腾股份。公司通过收购 Optima 切入半导体设备赛道,客户包括三星、海力士等国际龙头存储厂商。公司产品基于性能优势,有望打开国内先进封装市场空间并直接受益于全球先进封装扩产趋势。同时建议关注大族激光的键合设备和光力科技的切

7、片设备在国产供应链中的突破进展。评级面临的主要风险评级面临的主要风险 下游市场需求不及预期。行业竞争格局加剧。技术研发和验证进度不及预期。地缘政治冲突缓和,美国放开科技制裁。2023 年 12 月 13 日 先进封装设备行业深度 2 目录目录 后摩尔时代,先进封装重要性日益凸显后摩尔时代,先进封装重要性日益凸显.5 摩尔定律逼近物理极限,先进封装重要性提升.5 先进封装能有效提高芯片内部的互联密度和通信速度.6 人工智能等新兴应用对先进封装需求快速增长.7 国际巨头押注先进封装赛道,行业资本开支旺国际巨头押注先进封装赛道,行业资本开支旺.9 国际巨头纷纷布局先进封装赛道,前道晶圆厂优势明显.9

8、 先进封装产能紧缺,行业资本开支旺.11 先进封装推动产业革新,国产供应链价值重塑先进封装推动产业革新,国产供应链价值重塑.14 先进封装是前道工序的衍生.14 半导体设备市场复苏在即,国产供应链持续发力.16 投资建议投资建议.18 风险提示风险提示.19 芯源微.20 盛美上海.28 赛腾股份.35 vYgUcZqUiVvYcZtVoYiZ9PdN7NsQnNsQsReRoPmOjMrQtP7NmMuNNZtPnMvPmMtN2023 年 12 月 13 日 先进封装设备行业深度 3 图表目录图表目录 图表图表 1.先进工艺设计成本快速增长先进工艺设计成本快速增长.5 图表图表 2.全球主

9、要晶圆厂先进制程技术节点规划全球主要晶圆厂先进制程技术节点规划.5 图图表表 3.全球封装技术演进趋势全球封装技术演进趋势.6 图表图表 4.台积电台积电 CoWoS-S 先进封装的结构先进封装的结构.6 图表图表 5.各类型先进封装技术在终端的应用情况各类型先进封装技术在终端的应用情况.7 图表图表 6.全球封测市场规模预估全球封测市场规模预估.7 图表图表 7.全球先进封装市场规模预估全球先进封装市场规模预估.8 图表图表 8.台积电的台积电的 CoWoS-S 技术已经迭代至第五代技术已经迭代至第五代.9 图表图表 9.台积电的台积电的 InFO 和和 SoIC 封装技术封装技术.9 图表

10、图表 10.英特尔的英特尔的 Foveros 封装技术封装技术.10 图表图表 11.国际巨头相继布局先进封装技术国际巨头相继布局先进封装技术.10 图表图表 12.全球高性能封装技术市场规模全球高性能封装技术市场规模.11 图表图表 13.台积电台积电 CoWoS 营业收入预估营业收入预估.12 图表图表 14.2021 年全球主要厂商先进封装资本开支年全球主要厂商先进封装资本开支.13 图表图表 15.2022 年全球主要厂商先进封装资本开支年全球主要厂商先进封装资本开支.13 图表图表 16.晶圆制造和封测的工序晶圆制造和封测的工序.14 图表图表 17.晶圆级封装的常见工艺流程晶圆级封

11、装的常见工艺流程.14 图表图表 18.先通孔、中通孔、后通孔先通孔、中通孔、后通孔 TSV 的结构的结构.15 图表图表 19.硅通孔硅通孔 TSV 工艺的主要流程工艺的主要流程.15 图表图表 20.全球半导体设备市场规模预估全球半导体设备市场规模预估.16 图表图表 21.北方华创适用于先进封装环节的设备北方华创适用于先进封装环节的设备.16 图表图表 22.芯源微适用于先进封装环节的设备芯源微适用于先进封装环节的设备.17 图表图表 23.盛美上海适用于先进封装环节的设备盛美上海适用于先进封装环节的设备.17 图表图表 24.报告中提及上市公司估值表报告中提及上市公司估值表.18 图表

12、图表 25.芯源微专注于半导体设备领域多年芯源微专注于半导体设备领域多年.21 图表图表 26.芯源微主要股东明细芯源微主要股东明细.21 图表图表 27.芯源微主要产品和客户芯源微主要产品和客户.22 图表图表 28.芯源微营业收入和净利润明细芯源微营业收入和净利润明细.22 图表图表 29.芯源微毛利率和净利率明细芯源微毛利率和净利率明细.23 图表图表 30.芯源微研发费用和研发费用率芯源微研发费用和研发费用率.23 图表图表 31.芯源微各半导体设备业务营业收入芯源微各半导体设备业务营业收入.24 图表图表 32.芯源微盈利预测芯源微盈利预测.25 图表图表 33.芯源微和同行企业估值

13、对比芯源微和同行企业估值对比.26 图表图表 34.盛美上海发展历史盛美上海发展历史.29 图表图表 35.盛美上海前十大股东中的重要股东盛美上海前十大股东中的重要股东.29 图表图表 36.盛美上海营业收入和增长率盛美上海营业收入和增长率.30 2023 年 12 月 13 日 先进封装设备行业深度 4 图表图表 37.盛美上海净利润和增长率盛美上海净利润和增长率.30 图表图表 38.盛美上海毛利率和净利率盛美上海毛利率和净利率.30 图表图表 39.盛美上海销售、管理、研发费用率盛美上海销售、管理、研发费用率.30 图表图表 40.ACM Research 主要产品演变情况和市场空间主要

14、产品演变情况和市场空间.31 图表图表 41.盛美上海各半导体设备业务营业收入盛美上海各半导体设备业务营业收入.32 图表图表 42.盛美上海盈利预测盛美上海盈利预测.33 图表图表 43.盛美上海和同行企业估值对比盛美上海和同行企业估值对比.33 图图表表 44.赛腾股份发展历程赛腾股份发展历程.36 图表图表 45.赛腾股份股权结构赛腾股份股权结构.36 图表图表 46.赛腾股份主要产品赛腾股份主要产品.37 图表图表 47.2022 年年公司营收行业构成公司营收行业构成.37 图表图表 48.公司营收产品构成公司营收产品构成.37 图表图表 49.公司营收增长稳定公司营收增长稳定.38

15、图表图表 50.公司盈利能力持续提升公司盈利能力持续提升.38 图表图表 51.公司毛利率净利率稳步提升公司毛利率净利率稳步提升.38 图表图表 52.公司费用管控能力较好公司费用管控能力较好.38 图表图表 53.Optima 产品解决方案产品解决方案.38 图表图表 54.全球及中国量测设备市场规模全球及中国量测设备市场规模.39 图表图表 55.2020 年全球量测设备市场竞争格局年全球量测设备市场竞争格局.39 图表图表 56.赛腾股份赛腾股份盈利预测盈利预测.40 图表图表 57.赛腾股份和同行企业估值对比赛腾股份和同行企业估值对比.41 2023 年 12 月 13 日 先进封装设

16、备行业深度 5 后摩尔时代,先进封装重要性日益凸显后摩尔时代,先进封装重要性日益凸显 摩尔定律逼近物理极限,先进封装重要性提升摩尔定律逼近物理极限,先进封装重要性提升 先进工艺设计成本日渐高昂,先进封装性价比凸显。先进工艺设计成本日渐高昂,先进封装性价比凸显。根据摩尔定律,芯片内部的晶体管数量每隔1824 个月翻番,同时性能提升一倍。随着半导体技术逐渐逼近物理极限,晶体管尺寸的微缩也越来越困难。根据芯东西数据,芯片制程从 65nm 升级到 5nm,其制程提升约 7 代,而芯片设计成本增长了接近 20 倍,从 0.24 亿美元提升至惊人的 4.76 亿美金。先进制程芯片的开发成本令芯片设计企业越

17、来越难以承受。图表图表 1.先进工艺设计成本快速增长先进工艺设计成本快速增长 资料来源:芯东西,中银证券 先进工艺进步速度放缓,摩尔定律难以为继。先进工艺进步速度放缓,摩尔定律难以为继。二十一世纪以来,芯片在 2015 年进入 14nm 时代,在2017 年进入 10nm 时代,在 2018 年进入 7nm 时代,在 2020 年进入 5nm 时代,在 2022 年进入 3nm时代。根据 TrendForce 数据,台积电预计将于 2025 年推出 2nm 工艺节点。全球芯片制程从 3nm 升级到 2nm 预计将耗时三年,这比正常的摩尔定律升级时间 1824 个月要多出一年多的时间,这也反映摩

18、尔定律越来越逼近物理极限。图表图表 2.全球主要晶圆厂先进制程技术节点规划全球主要晶圆厂先进制程技术节点规划 资料来源:Digitimes,超能网,中银证券 随着先进工艺成本的上升和技术升级难度的增加,先进封装被视为延续摩尔定律的重要途径。24.0 39.0 62.9 104.2 178.5 232.3 349.2 476.0 0050065nm45/40nm28nm20nm16/14nm10nm7nm5nm单位:百万美元2023 年 12 月 13 日 先进封装设备行业深度 6 先进封装能有效提高芯片内部的互联密度和通信速度先进封装能有效提高芯片内部的互联密度和通信速度

19、 封装技术迭代,封装尺寸与互联密度不断提升。封装技术迭代,封装尺寸与互联密度不断提升。根据 Yole 对半导体封装技术发展历史的回溯,1990年代开始,以“Flip-Chip”和“WLCSP”为代表的封装技术推动半导体封装进入先进封装技术领域。2010年代开始,以“2.5D Si interposers”和“2D/3D packaging”为代表的封装技术实现了更小的 I/O 节点和更大的封装尺寸。更大的封装密度成为先进封装的重要发展趋势之一。图表图表 3.全球封装技术演进趋势全球封装技术演进趋势 资料来源:Yole,OFweek,中银证券 Chiplet 是提升芯片内高速是提升芯片内高速互联

20、互联的关键。的关键。采用传统封装的芯片系统中,芯片和芯片间的互联依赖于电路板上的互联技术,如 PCIe、Rapid I/O 等。Chiplet 技术将多个 Die 集成在同一颗芯片内部,实现了片内 Die 间通信(Serdes、AIB/MDIO 等)。随着单颗芯片可集成的晶体管数量越来越多,工艺节点越来越小,隧穿效应逐渐明显,漏电问题愈发凸显,这导致频率提升接近瓶颈。为进一步提升系统性能,芯片由单核向多核异构系统发展。Chiplet 技术通过在物理层、接口层、通信层、协议层的多种技术,提升了 Die 间通信的速率。图表图表 4.台积电台积电 CoWoS-S 先进封装的结构先进封装的结构 资料来

21、源:与非网,Wikichip,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 7 根据 Wikichip 数据,以台积电 CoWoS(Chip on Wafer on Substrate)技术为例,CoWoS 将有源硅芯片、中介层、基板三层堆叠为立体封装结构,芯片间的通信方式从传统的引线或基板升级为 Wafer,进而实现通信速度的提升。目前几乎所有的人工智能和加速器芯片都是基于台积电的 CoWoS 工艺平台。根据与非网数据,目前片外通信绝大多数依赖于PCIe接口,PCIe 6.0 16接口理论上可以实现128GB/s的通信速度。根据谷歌云服务中心公布的数据,应用在 AI 大模

22、型上的 TPU 内部通行速度已经达到1000PB/s,这是 PCIe 6.0 通信速度的 8,192,000 倍。可以说,Chiplet 是提升芯片内高速互联的关键。人工智能等新兴应用对人工智能等新兴应用对先进封装需求先进封装需求快速快速增长增长 一方面,消费电子等终端产品对设备需求越来越小型化,对应的芯片封装尺寸要求也越来越高;另一方面,5G、高性能运算、智能驾驶、AR/VR、物联网对芯片的性能提出了更高的要求,对应的芯片封装密度要求也越来越高。芯片只有提供更小的尺寸和更好的能耗才能满足下游领域的需求。先进封装凭借更高的互联密度和更快的通信速度,得到愈加广泛的应用。图表图表 5.各类型先进封

23、装技术在终端的应用情况各类型先进封装技术在终端的应用情况 资料来源:集微咨询,中银证券 根据集微咨询预估,在 5G、汽车电子、可穿戴、人工智能、数据中心等应用需求的推动下,20222026年全球封测市场规模将从 815 亿美元增长至 961 亿美元,CAGR 达到 4.2%。图表图表 6.全球全球封测封测市场规模预估市场规模预估 资料来源:集微咨询,中银证券 67567777789610.3%14.8%4.9%5.2%4.9%4.9%1.9%0%2%4%6%8%10%12%14%16%02004006008001,0001,200200222023E

24、2024E2025E2026E单位:亿美元全球封测产业规模(左)YoY(右)2023 年 12 月 13 日 先进封装设备行业深度 8 全球先进封装市场规模增速显著高于封测市场规模增速。全球先进封装市场规模增速显著高于封测市场规模增速。根据集微咨询预估,20222026 年全球先进封装市场规模将从 379 亿美元增长至 482 亿美元,CAGR 达到 6.2%。其中 ED、3D-Stack、Fan-out的平均年复合增长率最大,分别达到 24.8%、17.7%、12.0%。未来部分封装技术在特定领域会有进一步的渗透和发展,如 Fan-out 封装在手机、汽车、网络等领域会有较大的增量空间,如

25、3D-Stack 在AI、HPC、数据中心、CIS、MEMS 传感器等领域会有较大的增长空间。根据集微咨询数据,2022 年全球先进封装占整体封测市场比例约为 47.2%。因为先进封装的成长性好于传统封装,预计到 2026年全球先进封装占整体封测市场比例将超过 50%。图表图表 7.全球先进全球先进封装封装市场规模预估市场规模预估 资料来源:Yole,集微咨询,中银证券 00500600200222023E2024E2025E2026E单位:亿美元Fan-outWLCSPFlip-chip3D-StackED2023 年 12 月 13 日 先进封装

26、设备行业深度 9 国际巨头押注先进封装赛道国际巨头押注先进封装赛道,行业资本开支,行业资本开支旺旺 国际巨头纷纷布局先进封装赛道,前道晶圆厂优势明显国际巨头纷纷布局先进封装赛道,前道晶圆厂优势明显 台积电是全球先进封装技术的领军者之一,旗下 3D Fabric 拥有 CoWoS、InFO、SoIC 三种先进封装工艺。CoWoS 是台积电最经典的先进封装技术之一。2011 年至今,台积电的 CoWoS 工艺已经迭代至第五代,期间中介层面积、晶体管数量、内存容量不断扩大。Nvidia、AMD、Broadcom、Marvell等是台积电 CoWoS 工艺的最大客户。图表图表 8.台积电的台积电的 C

27、oWoS-S 技术已经技术已经迭代至第五代迭代至第五代 资料来源:台积电,半导体行业观察,中银证券 台积电的 InFO 技术是基于 CoWoS 的改进工艺,其将硅中介层替换为 polyamide film 材料,降低了单位成本和封装高度。苹果的 iPhone 7、iPhone 7 Plus 均采用 InFO 封装技术。这也是台积电后续独占苹果 A 系列处理器订单的关键因素。2018 年,台积电首次对外公布其 SoIC 封装技术。该技术是台积电基于 CoWoS 和多晶圆堆叠(WoW)开发的新一代封装技术。根据台积电官方介绍,SoIC 提供创新的前段 3D 芯片堆叠技术,用于重新集成从片上系统(S

28、oC)划分的小芯片。SoIC 集成的芯片在系统性能方面优于原始 SoC,并提供了集成其他功能的灵活性。相较于 2.5D 封装方案,SoIC 的凸块密度更高,传输速度更快,功耗更低。图表图表 9.台积电的台积电的 InFO 和和 SoIC 封装技术封装技术 资料来源:台积电,半导体行业观察,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 10 英特尔也在积极布局 2.5D/3D 先进封装赛道,并已经推出 EMIB、Foveros、Co-EMIB 等多种先进封装技术,力求通过 2.5D/3D 等多种异构集成的形式实现互联带宽倍增和功耗减半的目标。2018 年,英特尔首次展示 Fo

29、veros 先进封装技术,引入 3D 堆叠,在逻辑芯片上堆叠逻辑芯片,实现横向和纵向的互联,且凸点间距进一步降低为 2550m。英特尔表示 Foveros 可以将不同工艺、结构、用途的芯片整合到一起,从而将更多的计算电路组装到单颗芯片上,以实现高性能、高密度和低功耗。该技术提供了极大的灵活性,设计人员可以再新的产品形态中“混搭”不同的技术专利模块、各种存储芯片、I/O 配置,并使得产品能够分解成更小的“芯片组合”。图表图表 10.英特尔的英特尔的 Foveros 封装技术封装技术 资料来源:英特尔,半导体行业观察,中银证券 三星在 2.5D/3D 先进封装技术领域也有布局,并已经推出 I-Cu

30、be、X-Cube 等先进封装技术。针对2.5D 封装,三星推出的 I-Cube 技术可以和台积电的 CoWoS 技术相媲美。针对 3D 封装,三星在 2020年推出 X-Cube 技术,将硅晶圆或芯片物理堆叠,并通过硅通孔(TSV)连接,最大程度上缩短了互联长度,在降低功耗的同时提高传输速率。图表图表 11.国际巨头相继布局先进封装技术国际巨头相继布局先进封装技术 资料来源:Yole,半导体行业观察,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 11 相较于传统封装,先进封装涉及到前道工序的延续,所以先进封装的后道工艺路线和晶圆厂的前道制造工艺界限逐渐模糊,晶圆厂在技术方

31、面更占有优势。这也是台积电、英特尔、三星等晶圆厂能主导先进封装技术的重要原因。先进封装产能紧缺,行业资本开支旺先进封装产能紧缺,行业资本开支旺 高性能封装需求旺盛,主要技术市场规模迎来快速增长。高性能封装需求旺盛,主要技术市场规模迎来快速增长。根据 Yole 数据,20212027 年国际巨头布局的高性能封装市场规模将从 27.4 亿美元增长至 78.7 亿美元,CAGR 达到 19%。Yole 预计到 2027年,UHD FO、HBM、3DS 和有源 Si 中介层将占高性能封装市场规模的 50%以上,是市场增长的最大贡献者。嵌入式 Si 桥、3D Nand 堆栈、3D SoC 和 HBM 是

32、增长最快的四个领域,每个领域的 CAGR都超过 20%。随着消费和移动终端、电信和基础设施中人工智能和高性能应用程序的快速发展,高性能封装的需求也迎来较快增长,这也是延续摩尔定律的关键解决方案之一。图表图表 12.全球高性能封装技术市场规模全球高性能封装技术市场规模 资料来源:Yole,半导体行业观察,中银证券 台积电台积电 CoWoS 产能紧缺,行业扩产在即。产能紧缺,行业扩产在即。根据与非网援引台积电的消息,2023 年初以来,全球 AI订单需求持续增长,台积电现有的先进封装产能无法满足需求,台积电被迫紧急增加 CoWoS 产能。台积电预计 2023 年 CoWoS 产能将较 2022 年

33、实现倍增,而 2024 年 CoWoS 产能将在 2023 年的基础上再次实现倍增。2022 年台积电 CoWoS 营业收入已经占总营业收入 5%以上,并且将以 20%的年增速保持增长,高于台积电预估的总营业收入年增速 10%。2023 年 12 月 13 日 先进封装设备行业深度 12 图表图表 13.台积电台积电 CoWoS 营业收入预估营业收入预估 资料来源:Information Network,中银证券 全球主要半导体厂商提高先进封装资本开支。全球主要半导体厂商提高先进封装资本开支。根据 Yole 数据,2021 年包括英特尔、台积电、三星等在内的主要厂商在先进封装领域的资本开支达到

34、 110 多亿美元。其中,英特尔是先进封装领域资本开支最大的厂商,其主导的先进封装技术为 EMIB和 Foveros,而 EMIB 和 Foveros 结合又诞生了 Co-EMIB 技术,该技术主要被应用于英特尔旗下的Ponte Vecchio GPU。英特尔计划为其 Foveros Direct 采用混合键合技术,并提高了资本开支。台积电紧随英特尔之后,2021 年在先进封装领域的资本开支达到 30.5 亿美元。台积电的 CoWoS 工艺平台提供硅中介层或 RDL 的解决方案,衍生的 LSI 解决方案则对标 EMIB 的解决方案。此外,台积电在通过 InFO 解决方案为 UHD FO 争取更

35、多业务的同时,也在为 3D SoC 定义新的系统级路线和技术。台积电在积极主导下一代系统级封装技术路线,并为此维持了较高的资本开支水平。三星拥有类似于台积电 CoWoS-S 的 I-Cube 技术。三星同时也是 3D 堆栈内存解决方案的领导者之一,提供 HBM和 3DS 的解决方案,其 X-Cube 预计也将使用混合键合技术。英特尔、台积电、三星凭借晶圆厂在前道领域的优势,主导了先进封装领域的技术路线。2021 年日月光在先进封装领域的资本开支约 20亿美元,仅次于英特尔、台积电和三星。日月光也是唯一一个试图和晶圆厂/IDM 厂竞争先进封装技术的 OSAT 厂商。日月光凭借其 FoCoS 产品

36、,也是目前唯一具有 UHD FO 解决方案的 OSAT 厂商。但是就前端制造能力和财务能力而言,其他 OSAT 厂商在先进封装领域并不具备和英特尔、台积电、三星等晶圆大厂并驾齐驱的实力。根据 Yole 数据,2022 年包括英特尔、台积电、三星等在内的主要厂商在先进封装领域的资本开支进一步上升至 150 多亿美元。其中,英特尔的先进封装资本开支进一步上升至 47.5 亿美元,依然位居第一;台积电的先进封装资本开支上升至 40 亿美元,位居第二;三星的先进封装资本开支维持在 20亿美元的水平,位居第三;其他 OSAT 厂商也都纷纷上调其在先进封装领域的资本开支。759.8730899.41012

37、.553.254.773.891.8001,0001,20020222023E2024E2025E单位:亿美元总营业收入CoWoS营业收入2023 年 12 月 13 日 先进封装设备行业深度 13 图表图表 14.2021 年全球主要厂商先进封装资本开支年全球主要厂商先进封装资本开支 图表图表 15.2022 年全球主要厂商先进封装资本开支年全球主要厂商先进封装资本开支 资料来源:Yole,半导体行业观察,中银证券 资料来源:Yole,半导体行业观察,中银证券 随着业内认识到先进封装对于抵抗摩尔定律放缓的重要性,全球主要半导体厂商纷纷提高其在先进封装领域的资本开支。我们

38、预计随着先进封装在后摩尔时代扮演越来越重要的角色,先进封装领域的资本开支也将维持在一个较高的水平。35.00 30.49 20.00 15.00 7.80 5.93 4.87 010203040单位:亿美元系列247.50 40.00 20.00 16.50 9.50 6.50 6.12 4.40 01020304050单位:亿美元系列22023 年 12 月 13 日 先进封装设备行业深度 14 先进封装先进封装推动产业革新,推动产业革新,国产国产供应链价值重塑供应链价值重塑 先进封装先进封装是前道是前道工序工序的衍生的衍生 先进封装从产业链环节来看属于封装测试环节。先进封装厂为客户的晶圆裸

39、片提供定制化的技术解决方案。先进封装是前道工序的衍生。先进封装是前道工序的衍生。传统的晶圆制造流程包括氧化、涂胶、光刻、刻蚀、离子注入、物理/化学气相沉积、抛光、晶圆检测、清洗等环节。传统的封测流程包括磨片/背面减薄、切割、贴片、银浆固化、引线焊接、塑封、切筋成型、FT 测试等环节。传统封装侧重于板级互联,先进封装侧重于晶圆级互联。先进封装在晶圆上通过 TSV 和 RDL 分别实现纵向和横向的互联,而 TSV 和 RDL则更类似于前道的晶圆制造工序,所以说先进封装是前道工序的衍生。图表图表 16.晶圆制造和封测的工序晶圆制造和封测的工序 资料来源:艾瑞咨询,中银证券 晶圆级封装的常见工艺流程包

40、括:PI 光刻、溅射、PR 光刻、电镀、植球、磨片等。PI 光刻是在 Wafer 表面涂覆 PSPI 光刻胶(Positive Photoresist),进行紫外线曝光,再通过显影和固化工艺,获得所需的 CD 开口位置。溅射是通过物理气相沉积原理,将高纯度的金属材料置于真空室,通过离子束、电子束或高能粒子束来撞击金属材料表面,使其发生溅射,从而产生大量微小的金属颗粒,这些颗粒会沉积在晶圆表面上并形成金属薄膜。PR 光刻和 PI 光刻类似,区别在于曝光后无需固化,而是在电镀后进行去胶操作。电镀是在种子层 UBM(Under Bump Metal)上方涂覆一层导电漆,用于芯片与外部电路板之间的连接

41、。然后,将晶圆浸入含有铜离子的电解液中,并将钎料作为阴极,使铜离子在钎料表面还原,形成一层均匀的铜层。电镀完成后,显影所预留的用于结构成型的光刻胶仍然处在晶圆表面,故需要使用药液喷淋的方式进行除胶。电镀后可能会出现的多余 UBM,通常需要使用腐蚀工艺去除。植球是在焊盘位置涂覆助焊剂,在其对应位置放置锡球,利用 Reflow 将锡球焊接至焊盘位置。磨片是按照具体产品的工艺要求,将晶圆磨划至需求厚度,然后将处理完成的晶圆经过切割,分离成单独的成品芯片。图表图表 17.晶圆级封装的常见工艺流程晶圆级封装的常见工艺流程 资料来源:屹立芯创,中银证券 2023 年 12 月 13 日 先进封装设备行业深

42、度 15 硅通孔硅通孔 TSV 是一种能让是一种能让 2.5D/3D 封装遵循摩尔定律演进的互连技术。封装遵循摩尔定律演进的互连技术。TSV 能实现芯片与芯片之间、芯片与晶圆之间、晶圆与晶圆之间完全穿孔的垂直电气连接。这些垂直连接可用于互连多个芯片、存储器、传感器和其他模块。硅通孔互连赋予了各种 2.5D/3D 封装应用和架构芯片纵向维度的集成能力,以最低的能耗/性能指标提供极高的性能和功能,以打造更小更快更节能的设备。图表图表 18.先通孔、中通孔、后通孔先通孔、中通孔、后通孔 TSV 的结构的结构 资料来源:Jknechtel,屹立芯创,中银证券 TSV 技术是技术是 2.5D/3D 封装

43、的关键技术。封装的关键技术。TSV 技术的工艺流程包括晶圆的表面清洗、光刻胶图案化、干法/湿法蚀刻沟槽、气相沉积、通孔填充、化学机械抛光等多道关键工艺。TSV 工艺涉及的设备包括晶圆减薄机、掩膜设备、涂胶机、激光打孔机、电镀设备、溅射台、光刻机、刻蚀机等。TSV 工艺可以分为先通孔(Via-first)、中通孔(Via-middle)和后通孔(Via-last),中通孔目前是高级 3D IC 以及中介层堆栈的热门选择。晶圆厂、封测厂均对 TSV 技术有深度研究。台积电、三星、英特尔等晶圆厂在前道制造环节经验丰富,对前道 TSV 技术熟能生巧,因而在 2.5D/3D 封装技术上独占鳌头。图表图表

44、 19.硅通孔硅通孔 TSV 工艺的主要流程工艺的主要流程 资料来源:Lumenci,屹立芯创,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 16 半导体设备市场复苏在即,国产供应链持续发力半导体设备市场复苏在即,国产供应链持续发力 全球半导体设备市场有望在全球半导体设备市场有望在 2024 年复苏。年复苏。根据 SEMI 发布的2023 年年中半导体设备预测报告,2023 年全球前道半导体设备市场规模将达到 764.3 亿美元,并在 2024 年增长至 877.6 亿美元;2023年全球后道测试设备市场规模将达到 63.9 亿美元,并在 2024 年增长至 69.0 亿美

45、元;2023 年全球后道封装设备市场规模将达到 45.9 亿美元,并在 2024 年增长至 53.4 亿美元。我们预计随着半导体周期逐步从周期底部复苏,各大厂商对封装和测试的资本开支意愿有望回升。考虑到先进封装在封装和测试行业中的重要性日益凸显,先进封装设备在封装和测试设备中的占比也有望进一步提升。图表图表 20.全球半导体设备市场规模全球半导体设备市场规模预估预估 资料来源:SEMI,中银证券 国产先进封装设备厂商国产先进封装设备厂商持续迭代新产品。持续迭代新产品。北方华创作为中国半导体设备龙头厂商之一,在先进封装领域亦有诸多布局。目前公司的 UBM/RDL金属沉积设备、TSV 金属沉积设备

46、、TSV 刻蚀设备、全新 DESCUM 设备已经正式投放市场或已经完成研发。图表图表 21.北方华创适用于先进封装环节的设备北方华创适用于先进封装环节的设备 分类分类 型号型号 用途介绍用途介绍 蚀刻设备 PSE V300 适用于 2.5D/3D TSV 刻蚀,兼容 8/12 英寸,兼容Bosch/Non-Bosch 工艺 去胶机 BMDP230 适用于 2.5D/3D 封装中的等离子工艺,兼容 8/12 英寸,适用于多种材料 物理气象沉积设备 Polaris Series TSV PVD System 适用于 2.5D/3D TSV 封装中的沉积工艺,兼容 8/12英寸,适用铜、钛、钽等多种

47、靶材 清洗设备 SC3080 适用于 12 英寸晶圆刻蚀后清洗 资料来源:北方华创官网,中银证券 芯源微作为中国半导体涂胶显影设备龙头厂商之一,在先进封装领域亦有诸多布局。目前公司的单片湿法刻蚀设备、单片湿法去胶机、单片清洗机、涂胶显影设备已经正式投放市场。202120222023E2024EAssembly&Packaging Equipment71.757.845.953.4Test Equipment78.375.263.969.0Wafer Fab Equipment875.0941.0764.3877.6005006007008009001,000单位:亿美元2

48、023 年 12 月 13 日 先进封装设备行业深度 17 图表图表 22.芯源微适用于芯源微适用于先进封装环节的设备先进封装环节的设备 分类分类 型号型号 用途介绍用途介绍 蚀刻设备 KS-S300-E 单片湿法刻蚀 适用于高端封装领域中金属层刻蚀,满足 UBM 和RDL 工艺要求 去胶机 KS-S300-ST 单片湿法去胶 适用于高端封装领域中的光阻去除工艺,掩膜版清洗 清洗设备 KS-S300-SR 单片清洗 适用于高端封装领域中的表面颗粒污染物去除 涂胶显影设备 KS-C300 涂胶显影 适用于高端封装领域的涂胶显影制程,兼容不同材质的晶片如硅、玻璃片、键合片、化合物等 资料来源:北方

49、华创官网,中银证券 盛美上海作为中国半导体清洗设备龙头厂商之一,在先进封装电镀设备和清洗设备领域亦有诸多布局。目前公司已经成功开发先进封装电镀设备、3D TSV 电镀设备。多款设备也处于研发和量产前期。图表图表 23.盛美上海适用于先进封装环节的设备盛美上海适用于先进封装环节的设备 分类分类 型号型号 用途介绍用途介绍 电镀设备 Ultra ECP ap 高速电镀,适用于 TSV、TMV、Fan-out 工艺,兼容 8/12 英寸 涂胶设备 Ultra C ct 双层旋涂,兼容 8/12 英寸 抛光设备 Ultra SFP 低应力,适用于薄晶圆 显影设备 Ultra V dv 适用于光刻工艺中

50、多种显影方式 资料来源:盛美上海官网,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 18 投资投资建议建议 我们认为随着摩尔定律逐渐逼近物理极限,先进封装在提升芯片性能领域的作用日益凸显。全球主要半导体大厂纷纷布局先进封装赛道并提高行业资本开支。国产先进封装设备厂商持续迭代新产品,有望在未来竞争中占据一席之地。我们推荐芯碁微装。直写光刻有望成为解决先进封装产能桎梏的关键,PCB 产业转移趋势有望为公司传统业务带来增量需求。我们推荐芯源微。芯源微前道涂胶显影设备有望实现对东京电子的国产替代,后道涂胶显影设备持续突破新兴客户,清洗设备竞争力稳健提升。我们推荐盛美上海。盛美上海清

51、洗设备有望持续受益于国产替代需求,先进封装湿法设备在行业资本开支迎来繁荣期的大趋势下有望稳健增长,先进电镀设备也进入量产验证阶段,放量在即。我们推荐赛腾股份。赛腾股份通过收购 Optima 切入半导体领域,海外 HBM 大厂扩产在即,公司量测设备有望在海外大厂适配中印证产品性能,进而发力国内市场。我们同时建议关注大族激光的键合设备和光力科技的切片设备在国产供应链中的突破进展。图表图表 24.报告中提及上市公司估值表报告中提及上市公司估值表 证券代码证券代码 证券名称证券名称 总市值总市值 归母净利润(亿元)归母净利润(亿元)PE(倍)(倍)评级评级 (亿元(亿元)2023E 2024E 202

52、5E 2023E 2024E 2025E 688630.SH 芯碁微装 110 1.79 3.11 4.53 61.5 35.5 24.3 买入 688037.SH 芯源微 200 3.05 4.17 5.73 65.6 47.9 34.9 增持 688082.SH 盛美上海 484 8.50 11.24 14.15 56.9 43.1 34.2 增持 603283.SH 赛腾股份 152 4.74 6.32 8.41 32.0 24.0 18.0 买入 002008.SZ 大族激光 225 12.18 16.81 20.69 18.5 13.4 10.9 未有评级 300480.SZ 光力科

53、技 80 1.02 1.35 1.81 78.5 59.4 44.4 未有评级 注:时间截至2023年12月11日。大族激光和光力科技未有评级,未有评级公司盈利预测均来自ifind一致预期。资料来源:ifind,中银证券 2023 年 12 月 13 日 先进封装设备行业深度 19 风险提示风险提示 下游市场需求不及预期。下游市场需求不及预期。宏观经济影响下,全球手机、平板、电脑、汽车等终端产品消费可能面临复苏递延的情况。如果下游需求低迷,中游晶圆、封测、芯片设计等环节厂商可能会下调资本开支,进而影响行业和公司的订单预期。行业竞争格局加剧。行业竞争格局加剧。随着越来越多的厂商试图进入先进封装设

54、备领域,行业竞争格局存在恶化的风险。如果市场发生价格战,行业和公司的盈利能力可能下滑。技术研发和验证进度不及预期。技术研发和验证进度不及预期。先进封装设备具有较高的研发门槛。新技术、新设备的精度、工作效率均会影响下游产线的生产质量和生产效率。如果行业和公司的相关产品在客户端验证进度不及预期,行业和公司的业绩可能会递延释放。地地缘政治冲突缓和,美国放开科技制裁。缘政治冲突缓和,美国放开科技制裁。美国对中国先进制程和先进封装的技术封锁亦为国产设备厂商提供了替代的机会。如果中美关系缓和或美国放宽制裁,国产设备厂商可能会面临外系设备厂商更为激烈的竞争。电子电子|证券研究报告证券研究报告 首次评级首次评

55、级 2023 年年 12 月月 13 日日 688037.SH 增持增持 市场价格市场价格:人民币人民币 145.00 板块评级板块评级:强于大市强于大市 股价表现股价表现 (%)今年今年至今至今 1 个月个月 3 个月个月 12 个月个月 绝对(9.6)(13.1)(2.4)(26.8)相对上证综指(5.5)(11.6)2.4(20.1)发行股数(百万)137.89 流通股(百万)137.89 总市值(人民币 百万)19,993.62 3 个月日均交易额(人民币 百万)327.24 主要股东 沈阳先进制造技术产业有限公司 11.57 资料来源:公司公告,Wind,中银证券 以2023年12月

56、11日收市价为标准 中银国际证券股份有限公司中银国际证券股份有限公司 具具备证券投资咨询业务资格备证券投资咨询业务资格 电子:半导体电子:半导体 证券分析师:苏凌瑶证券分析师:苏凌瑶 证券投资咨询业务证书编号:S03 联系人:茅珈恺联系人:茅珈恺 一般证券业务证书编号:S16 联系人:李圣宣联系人:李圣宣 一般证券业务证书编号:S20 芯源微芯源微 涂胶显影设备龙头,先进封装深度受益 前道涂胶显影设备有望实现对东京电子的国产替代,后道涂胶显影设备持续前道涂胶显影设备有望实现对东京电子的国产替代,后道涂胶显影设备持续突破新兴客户,

57、清洗设备竞争力稳健提升突破新兴客户,清洗设备竞争力稳健提升。首次覆盖,给予首次覆盖,给予增持增持评级。评级。支撑评级的要点支撑评级的要点 前道涂胶显影设备取得突破性进展。前道涂胶显影设备取得突破性进展。2022 年,芯源微前道涂胶显影设备在 Offline、i-line、KrF 机台领域均实现批量销售,浸没式机台获得国内知名企业订单,超高温 Barc 机台实现客户导入,首台浸没式高产能涂胶显影设备完成验证并实现顺利验收。目前公司已经完成 28nm 及以上节点全工艺覆盖。考虑到国内涂胶显影设备市场依然被东京电子高度垄断,芯源微作为国内唯一量产前道涂胶显影设备的厂商,有望深度受益于国产替代。清洗设

58、备市占率稳健提升。清洗设备市占率稳健提升。芯源微前道物理清洗设备自 2018 年发布以来,凭借高产能、高颗粒去除能力、高性价比等优势受到市场的广泛认可,并已经成为国内晶圆厂 baseline 产品。2022 年,公司清洗设备产品在高产能清洗架构、颗粒去除能力等工艺上实现了进一步的提升,产品竞争力不断增强,国内市占率稳步上升。先进封装领域扩大和新兴势力的合作。先进封装领域扩大和新兴势力的合作。芯源微后道用于先进封装的涂胶显影设备和单片清洗设备已经批量应用于台积电、长电科技、华天科技、通富微电、晶方科技、中芯绍兴、中芯宁波等国内一线大厂,并成为客户端的主力量产设备。2022 年,公司加深与盛合晶微

59、、长电绍兴、上海易卜等国内新兴封装势力的合作关系,成功批量导入各类设备。估值估值 预计芯源微 2023/2024/2025 年营业收入分别为 18.88/26.17/33.88 亿元,2023/2024/2025 年 EPS 分别为 2.21/3.02/4.16 元。截至 2023 年 12 月 11 日,芯源微市值 200 亿元,对应 2023/2024/2025 年PE 分别为 65.6/47.9/34.9 倍。考虑到公司半导体设备业务预计将保持较快增长,我们认为公司估值依然有上升空间,给予增持增持评级。评级面临的主要风险评级面临的主要风险 下游市场需求不及预期。行业竞争格局加剧。技术研发

60、和验证进度不及预期。地缘政治冲突缓和,美国放开科技制裁。Table_FinchinaSimple_index1 投资摘要投资摘要 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 主营收入(人民币 百万)829 1,385 1,888 2,617 3,388 增长率(%)152.0 67.1 36.4 38.6 29.4 EBITDA(人民币 百万)48 147 298 447 623 归母净利润(人民币 百万)77 200 305 417 573 增长率(%)58.4 158.8 52.4 36.7 37.5 最新股本摊薄每股收益(人民币)0.5

61、6 1.45 2.21 3.02 4.16 市盈率(倍)258.5 99.9 65.6 47.9 34.9 市净率(倍)22.3 9.5 8.5 7.4 6.3 EV/EBITDA(倍)295.1 92.3 65.4 43.5 30.9 每股股息(人民币)0.3 0.4 0.4 0.6 0.8 股息率(%)0.2 0.3 0.3 0.4 0.5 资料来源:公司公告,中银证券预测 (21%)(4%)13%30%47%64%Dec-22Jan-23Feb-23Mar-23Apr-23May-23Jun-23Jul-23Sep-23Oct-23Nov-23Dec-23芯源微上证综指2023 年 12

62、 月 13 日 芯源微 21 芯源微:涂胶显影设备龙头,先进封装深度受益芯源微:涂胶显影设备龙头,先进封装深度受益 2002 年,沈阳芯源微电子设备股份有限公司成立。公司主要从事半导体专用设备的研发、生产和销售,产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于 8/12 英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)及 6 英寸及以下单晶圆处理(如化合物、MEMS、LED 芯片制造等环节)。图表图表 25.芯源微专注于半导体设备领域多年芯源微专注于半导体设备领域多年 资料来源:芯源微官网,中银证券 截至 2023 年三季

63、报,芯源微第一大股东为沈阳先进制造技术产业有限公司,持股比例达到 11.57%。公司实控人郑广文持有沈阳先进制造技术产业有限公司 82.86%的股权。芯源微第二大股东为辽宁科发实业有限公司,持股比例达到 10.48%。辽宁科发实业有限公司背后的股东为辽宁省国资委、辽宁省财政厅。芯源微第三大股东为沈阳中科天盛自动化技术有限公司,持股比例达到 8.48%。沈阳中科天盛自动化技术有限公司背后股东为中国科学院沈阳自动化研究所。图表图表 26.芯源微芯源微主要股东明细主要股东明细 注:时间截至2023年三季报 资料来源:ifind,中银证券 芯源先进半导体有限公司成立20022005Track产品供货江

64、阴长电2007国内首台先进封装用12英寸Track机台2012获批承担国家首批02重大科技专项“涂点封装涂胶显影,单片湿法刻蚀设备的开发与产业化”2008获批承担国家02重大科技专项“300mm晶圆匀胶显影设备研发”2013先进封装用喷胶设备出口台湾2018国内首台高产能前道Track设备“奉天一号”进行工艺验证2020芯源微在上交所科创版上市2019高端晶圆处理设备产业化项目开工2021公司第1000台设备出厂沈阳芯源微电子设备股份有限公司沈阳先进制造技术产业有限公司辽宁科发实业有限公司实控人:郑广文82.86%11.57%10.48%辽宁省国资委、辽宁省财政厅100%沈阳中科天盛自动化技术

65、有限公司中国科学院沈阳自动化研究所100%8.48%中国科技产业投资管理有限公司1.84%董事长:宗润福周冰冰1.77%中国建设银行股份有限公司1.75%1.32%招商银行股份有限公司1.18%国泰君安股份有限公司1.14%2023 年 12 月 13 日 芯源微 22 涂胶显影设备是芯源微的的标杆产品,也是集成电路制造过程中不可或缺的关键处理设备。涂胶显影设备主要与光刻机(芯片生产线上最庞大、最精密复杂、难度最大、价格最昂贵的设备)配合进行作业,通过机械手使晶圆在各系统间传输和处理,从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等工艺过程。作为光刻机的输入(曝光前光刻胶涂覆)和输出(曝光后图形的

66、显影)设备,涂胶显影机的性能不仅直接影响到细微曝光图案的形成,其显影工艺的图形质量和缺陷控制对后续诸多工艺(诸如蚀刻、离子注入等)中图形转移的结果也有着深刻的影响。目前公司在集成电路前道晶圆加工领域客户包括中芯国际、长江存储、华虹半导体等,在集成电路后道先进封装领域客户包括台积电、华为、长电科技、通富微电、日月光等,在化合物、MEMS、LED 等领域客户包括三安集成、乾照光电、华灿光电等。图表图表 27.芯源微主要产品和客户芯源微主要产品和客户 资料来源:芯源微2022年年报,中银证券 20162022 年,芯源微营业收入从 1.48 亿元增长至 13.85 亿元,CAGR 达到 45%;净利

67、润从 0.05 亿元增长至 2.00 亿元,CAGR 达到 85%。芯源微经营业绩保持稳健增长。图表图表 28.芯源微营业收入和净利润明细芯源微营业收入和净利润明细 资料来源:ifind,中银证券 1.481.902.012.133.298.2913.8512.060.050.260.300.290.490.772.002.200.02.04.06.08.010.012.014.016.0单位:亿元营业收入净利润2023 年 12 月 13 日 芯源微 23 20162022 年,芯源微毛利率基本保持在 38%以上,净利率也呈现相对稳健水平。公司盈利能力比较稳定。图表图表 29.芯源微芯源微毛

68、利率和净利率毛利率和净利率明细明细 资料来源:ifind,中银证券 20162022 年,芯源微研发费用持续增长,研发费用率持续维持在相对高位。2022 年,公司研发费用达到 1.52 亿元,研发费用率达到 11.0%。图表图表 30.芯源微研发费用和研芯源微研发费用和研发费用率发费用率 资料来源:ifind,中银证券 3.3%13.8%14.5%13.7%14.9%9.3%14.5%18.3%42.1%41.7%46.5%46.6%42.6%38.1%38.4%42.5%0%10%20%30%40%50%60%净利润率毛利润率0.170.200.340.350.450.921.521.221

69、1.5%10.5%16.9%16.4%13.7%11.1%11.0%10.1%0.0%2.0%4.0%6.0%8.0%10.0%12.0%14.0%16.0%18.0%0.00.20.40.60.81.01.21.41.6单位:亿元研发投入(左)研发费用率(右)2023 年 12 月 13 日 芯源微 24 20162022 年,芯源微涂胶显影设备营业收入从 1.41 亿元增长至 7.57 亿元。2022 年,芯源微前道涂胶显影设备实现快速放量,其中 Off-line、I-line、KrF 机台均实现批量销售,浸没式机台已陆续获得国内多家知名厂商订单,超高温 Barc 机台也成功实现了客户导入

70、。2022Q4,芯源微首台浸没式高产能涂胶显影机在国内某知名客户处完成验证,已顺利实现验收。浸没式机台的推出,标志着公司前道涂胶显影设备已完成在晶圆加工环节 28nm 及以上工艺节点全覆盖,并可持续向更高工艺等级迭代。目前国内前道涂胶显影设备市场仍然被日本东京电子高度垄断,芯源微作为国内唯一可以提供量产型前道涂胶显影机的设备商,由于切入前道领域较晚,目前国内市占率仍然较低。随着公司产品的不断成熟,同时叠加国际贸易的不确定性增强,国内越来越多的晶圆厂正在加速公司前道涂胶显影机的导入进程,公司前道涂胶显影机国内市场份额有望实现快速提升。20162022 年,芯源微单片清洗设备营业收入从 0.01

71、亿元增长至 5.50 亿元。芯源微前道物理清洗机自 2018 年发布以来,凭借其高产能、高颗粒去除能力、高性价比等优势受到下游客户的广泛认可,产品发布后迅速打破国外垄断,并确立了市场领先优势。目前已广泛应用于中芯国际、上海华力、青岛芯恩、广州粤芯、上海积塔、厦门士兰等一线大厂,已成为国内晶圆厂 baseline 产品。2022 年芯源微前道物理清洗机实现批量销售近百台套。公司生产的前道物理清洗机 Spin Scrubber 设备较为成熟。2022 年公司清洗设备产品在高产能清洗架构、颗粒去除能力等工艺上实现了进一步的提升,产品竞争力不断增强,国内市占率稳步上升。2022 年,芯源微后道先进封装

72、领域用涂胶显影设备、单片式湿法设备实现批量销售超百台套,近年来已作为主流机型批量应用于台积电、长电科技、华天科技、通富微电、晶方科技、中芯绍兴、中芯宁波等国内一线大厂,已经成为客户端的主力量产设备。2022 年,公司加深与盛合晶微、长电绍兴、上海易卜等国内新兴封装势力的合作关系,成功批量导入各类设备。2022 年,芯源微化合物、MEMS、LED 等小尺寸领域用涂胶显影设备、单片式湿法设备实现批量销售超百台套,近年来已作为主流机型批量应用于三安集成、华灿光电、乾照光电、北京赛微、江西兆驰等国内一线大厂,已经成为客户端的主力量产设备。公司作为国内化合物龙头三安集成的主力供应商,在市场开拓中不断延伸

73、,进一步巩固市场优势地位。图表图表 31.芯源微各半导体设备业务营业收入芯源微各半导体设备业务营业收入 资料来源:ifind,中银证券 1.411.561.291.122.365.067.570.010.270.720.950.762.905.500.01.02.03.04.05.06.07.08.0200022单位:亿元涂胶显影设备单片湿法设备其他设备2023 年 12 月 13 日 芯源微 25 盈利预测盈利预测 芯源微的半导体设备覆盖前道晶圆制造、后道先进封装、化合物、MEMS、LED 等多领域。在前道涂胶显影设备领域,东京电子垄断中国的主要市

74、场。随着芯源微的涂胶显影设备在国内晶圆厂持续导入,我们预计芯源微的份额会在国产替代的带动下持续上升。在后道先进封装领域,芯源微的涂胶显影设备已经批量应用于台积电、长电科技等国内一线大厂。随着公司加深和盛合晶微、长电绍兴等国内新兴封装势力的合作,我们预计公司的导入情况会进一步加速。我们预计公司的涂胶显影设备营业收入会维持较快的增长。考虑到前道涂胶显影设备从验证进入量产阶段,我们预计公司的涂胶显影设备毛利率会在 2023 年有显著提升,并在 2024 和 2025 年维持在相对较高的水平。在清洗设备领域,芯源微的前道物理清洗设备凭借优异的性能已经成为国内晶圆厂 baseline 产品。随着公司清洗

75、设备产品性能和竞争力的进一步增长,其在国内市场的份额也有望进一步提升。我们预计公司的单片湿法设备营业收入会维持稳健的增长,同时毛利率也会维持在相对较高的水平。在其他设备领域,芯源微已经成功研发临时键合机、解键合机等产品。目前公司的临时键合机正在进行客户端验证。我们预计随着新产品验证的持续推进,公司其他设备营业收入有望迎来较快增长,同时毛利率也会维持在较高的水平。图表图表 32.芯源微盈利预测芯源微盈利预测 时间时间 2021 2022 2023E 2024E 2025E 1、涂胶显影设备 营业收入(百万元)506 757 1,111 1,661 2,215 YoY(%)114.4 49.6 4

76、6.7 49.6 33.3 毛利润(百万元)192 262 444 664 886 毛利率(%)37.9 34.6 40.0 40.0 40.0 2、单片湿法设备 营业收入(百万元)290 550 648 770 932 YoY(%)281.6 89.7 17.9 18.8 21.0 毛利润(百万元)103 215 259 308 373 毛利率(%)35.5 39.1 40.0 40.0 40.0 3、其他设备 营业收入(百万元)18 53 97 147 199 YoY(%)200.0 194.4 83.6 51.5 34.7 毛利润(百万元)10 37 58 88 119 毛利率(%)55

77、.6 69.8 60.0 60.0 60.0 4、其他业务 营业收入(百万元)15 25 33 39 43 YoY(%)36.4 66.7 30.0 20.0 10.0 毛利润(百万元)11 17 21 25 28 毛利率(%)73.3 68.0 65.0 65.0 65.0 总营业收入(百万元)总营业收入(百万元)829 1,385 1,888 2,617 3,388 YoY(%)152.0 67.1 36.4 38.6 29.4 总毛利润(百万元)总毛利润(百万元)316 532 783 1,086 1,406 毛利率(%)38.1 38.4 41.5 41.5 41.5 资料来源:ifi

78、nd,中银证券 估值估值 我们选取了同为半导体设备行业的上市公司中微公司、拓荆科技、华海清科作为可比对象。芯源微2023/2024/2025 年的 PE 分别为 65.6/47.9/34.9 倍,而可比公司平均值为 69.2/50.5/38.5 倍。考虑到芯源微在前道晶圆制造、后道先进封装、化合物、MEMS、LED 等多领域有广泛布局。公司有望深度受益于半导体设备的国产替代和先进封装的行业需求。我们认为公司估值仍有上升空间。首次覆盖,给予增持评级。2023 年 12 月 13 日 芯源微 26 图表图表 33.芯源微和同行企业估值对比芯源微和同行企业估值对比 证券代码证券代码 证券名称证券名称

79、 总市值总市值 归母净利润(亿元)归母净利润(亿元)PE(倍)(倍)评级评级 (亿元)(亿元)2023E 2024E 2025E 2023E 2024E 2025E 688012.SH 中微公司 982 16.0 18.6 23.3 61.2 52.7 42.1 未有评级 688072.SH 拓荆科技 498 5.0 7.8 10.7 99.5 63.7 46.3 未有评级 688120.SH 华海清科 357 7.6 10.2 13.2 47.0 35.0 27.0 未有评级 平均值 69.2 50.5 38.5 688037.SH 芯源微 200 3.1 4.2 5.7 65.6 47.9

80、 34.9 增持 注:时间截至2023年12月11日。中微公司、拓荆科技、华海清科尚未覆盖,未有评级公司盈利预测均来自ifind一致预期。资料来源:ifind,中银证券 风险提示风险提示 下游市场需求不及预期。下游市场需求不及预期。宏观经济影响下,全球手机、平板、电脑、汽车等终端产品消费可能面临复苏递延的情况。如果下游需求低迷,中游晶圆、封测、芯片设计等环节厂商可能会下调资本开支,进而影响行业和公司的订单预期。行业竞争格局加剧。行业竞争格局加剧。随着越来越多的厂商试图进入先进封装设备领域,行业竞争格局存在恶化的风险。如果市场发生价格战,行业和公司的盈利能力可能下滑。技术研发和验证技术研发和验证

81、进度不及预期。进度不及预期。先进封装设备具有较高的研发门槛。新技术、新设备的精度、工作效率均会影响下游产线的生产质量和生产效率。如果行业和公司的相关产品在客户端验证进度不及预期,行业和公司的业绩可能会递延释放。地缘政治冲突缓和,美国放开科技制裁。地缘政治冲突缓和,美国放开科技制裁。美国对中国先进制程和先进封装的技术封锁亦为国产设备厂商提供了替代的机会。如果中美关系缓和或美国放宽制裁,国产设备厂商可能会面临外系设备厂商更为激烈的竞争。2023 年 12 月 13 日 芯源微 27 Table_FinchinaDetail_index1 利润表利润表(人民币人民币 百万百万)现金流量表现金流量表(

82、人民币人民币 百万百万)年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 营业总收入 829 1,385 1,888 2,617 3,388 净利润 77 200 305 417 573 营业收入 829 1,385 1,888 2,617 3,388 折旧摊销 15 26 31 36 41 营业成本 513 853 1,105 1,531 1,982 营运资金变动(322)(75)(228)(244)(281)营业税金及附加 7 11 15 21 27

83、 其他 12 42(21)17 5 销售费用 73 104 142 183 220 经营活动现金流经营活动现金流(218)193 88 226 338 管理费用 92 142 151 196 237 资本支出(192)(207)(50)(50)(50)研发费用 92 152 189 262 339 投资变动 0(40)0 0 0 财务费用(2)4 2 10 8 其他 1 0 9 0 0 其他收益 40 49 76 79 85 投资活动现金流投资活动现金流(191)(246)(41)(50)(50)资产减值损失(8)(2)0 0 0 银行借款 192(78)864 0 0 信用减值损失(10)0

84、(19)(13)0 股权融资(10)979(56)(77)(106)资产处置收益 0 0 0 0 0 其他 2(4)(2)(10)(8)公允价值变动收益 0 0 0 0 0 筹资活动现金流筹资活动现金流 184 897 806(87)(114)投资收益 1 10 9 0 0 净现金流净现金流(225)844 853 88 174 汇兑收益 0 0 0 0 0 资料来源:公司公告,中银证券预测 营业利润 76 176 351 479 659 营业外收入 0 46 0 0 0 财务指标财务指标 营业外支出 0 0 0 0 0 年结日:年结日:12 月月 31 日日 2021 2022 2023E

85、2024E 2025E 利润总额 76 222 351 479 659 成长能力成长能力 所得税(1)22 46 62 86 营业收入增长率(%)152.0 67.1 36.4 38.6 29.4 净利润 77 200 305 417 573 营业利润增长率(%)109.0 132.2 99.2 36.7 37.5 少数股东损益 0 0 0 0 0 归 属 于 母 公 司 净 利 润 增 长 率(%)58.4 158.8 52.4 36.7 37.5 归母净利润 77 200 305 417 573 息税前利润增长率(%)(687.0)265.3 121.2 53.7 41.7 EBITDA

86、48 147 298 447 623 息税折旧前利润增长率(%)676.5 204.7 103.5 49.8 39.5 EPS(最新股本摊薄,元)0.56 1.45 2.21 3.02 4.16 EPS(最新股本摊薄)增长率(%)58.4 158.8 52.4 36.7 37.5 资料来源:公司公告,中银证券预测 获利能力获利能力 息税前利润率(%)4.0 8.7 14.2 15.7 17.2 资产负债表资产负债表(人民币人民币 百万百万)营业利润率(%)9.1 12.7 18.6 18.3 19.4 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025

87、E 毛利率(%)38.1 38.4 41.5 41.5 41.5 流动资产流动资产 1,583 2,857 4,224 5,254 6,044 归母净利润率(%)9.3 14.5 16.1 15.9 16.9 货币资金 232 1,098 1,951 2,040 2,214 ROE(%)8.6 9.5 12.9 15.5 18.1 应收账款 230 266 410 527 686 ROIC(%)3.8 9.6 12.3 16.7 20.8 应收票据 39 23 61 56 95 偿债能力偿债能力 存货 932 1,213 1,567 2,284 2,701 资产负债率 0.5 0.4 0.5

88、0.5 0.5 预付账款 38 73 71 128 129 净负债权益比 0.0(0.5)(0.4)(0.4)(0.4)合同资产 14 27 26 48 48 流动比率 1.5 2.2 2.2 2.0 2.0 其他流动资产 98 157 138 171 171 营运能力营运能力 非流动资产非流动资产 378 639 636 661 664 总资产周转率 0.5 0.5 0.5 0.5 0.5 长期投资 10 50 50 50 50 应收账款周转率 5.3 5.6 5.6 5.6 5.6 固定资产 89 395 421 442 457 应付账款周转率 5.3 7.2 7.6 7.6 7.6 无形

89、资产 57 72 66 61 55 费用率费用率 其他长期资产 222 123 98 108 102 销售费用率(%)8.8 7.5 7.5 7.0 6.5 资产合计资产合计 1,961 3,496 4,860 5,914 6,708 管理费用率(%)11.2 10.2 8.0 7.5 7.0 流动负债流动负债 1,032 1,287 1,937 2,634 2,969 研发费用率(%)11.2 11.0 10.0 10.0 10.0 短期借款 214 136 500 500 500 财务费用率(%)(0.2)0.3 0.1 0.4 0.2 应付账款 186 198 300 390 503 每

90、股指标每股指标(元元)其他流动负债 632 953 1,137 1,744 1,967 每股收益(最新摊薄)0.6 1.5 2.2 3.0 4.2 非流动非流动负债负债 32 103 568 585 576 每股经营现金流(最新摊薄)(1.6)1.4 0.6 1.6 2.5 长期借款 0 0 500 500 500 每股净资产(最新摊薄)6.5 15.3 17.1 19.5 22.9 其他长期负债 32 103 68 85 76 每股股息 0.3 0.4 0.4 0.6 0.8 负债合计负债合计 1,064 1,390 2,504 3,219 3,546 估值比率估值比率 股本 84 93 1

91、38 138 138 P/E(最新摊薄)258.5 99.9 65.6 47.9 34.9 少数股东权益 0 0 0 0 0 P/B(最新摊薄)22.3 9.5 8.5 7.4 6.3 归属母公司股东权益 897 2,107 2,355 2,695 3,162 EV/EBITDA 295.1 92.3 65.4 43.5 30.9 负债和股东权益合计负债和股东权益合计 1,961 3,496 4,860 5,914 6,708 价格/现金流(倍)(91.6)103.6 228.3 88.5 59.1 资料来源:公司公告,中银证券预测 资料来源:公司公告,中银证券预测 电子电子|证券研究报告证券

92、研究报告 首次评级首次评级 2023 年年 12 月月 13 日日 688082.SH 增持增持 市场价格市场价格:人民币人民币 111.10 板块评级板块评级:强于大市强于大市 股价表现股价表现 (%)今年今年至今至今 1 个月个月 3 个月个月 12 个月个月 绝对 36.9(1.9)0.1 42.5 相对上证综指 40.9(0.3)4.9 49.2 发行股数(百万)435.71 流通股(百万)75.86 总市值(人民币 百万)48,407.09 3 个月日均交易额(人民币 百万)160.52 主要股东 ACM Research,Inc.82.09 资料来源:公司公告,Wind,中银证券

93、以2023年12月11日收市价为标准 中银国际证券股份有限公司中银国际证券股份有限公司 具备证券投资咨询业务资格具备证券投资咨询业务资格 电子:半导体电子:半导体 证券分析师:苏凌瑶证券分析师:苏凌瑶 证券投资咨询业务证书编号:S03 联系人:茅珈恺联系人:茅珈恺 一般证券业务证书编号:S16 联系人:李圣宣联系人:李圣宣 一般证券业务证书编号:S20 盛美上海盛美上海 半导体清洗设备龙头,电镀设备助力先进封装 盛美上海清洗设备有望持续受益于国产替代需求,先进封装湿法设备在行业盛美上海清洗设备有望持续受益于国产替代需求,先进封装

94、湿法设备在行业资本开支迎来繁荣期的大趋势下有望稳健增长,先进电镀设备也进入量产验资本开支迎来繁荣期的大趋势下有望稳健增长,先进电镀设备也进入量产验证阶段,放量在即证阶段,放量在即。首次覆盖,给予首次覆盖,给予 Z 评级。评级。支撑评级的要点支撑评级的要点 清洗设备持续受益于国产替代。清洗设备持续受益于国产替代。盛美上清洗设备产品矩阵覆盖 SAPS 兆声波单片清洗设备、TEBO 兆声波单片清洗设备、高温单片 SPM 设备、单片背面清洗设备、边缘湿法刻蚀设备、前道刷洗设备、全自动槽式清洗设备等。中国半导体清洗设备市场主要由 DNS、LAM、TEL 等海外厂商占据主要市场。盛美上海有望凭借在清洗设备

95、领域的技术积累,持续受益于国产替代需求。先进封装湿法设备稳健增长。先进封装湿法设备稳健增长。在先进封装湿法设备领域,盛美上海覆盖湿法刻蚀、湿法去胶、湿法金属剥离等多款设备。随着全球大厂持续重视在先进封装领域的投入,我们预计公司先进封装湿法设备将持续保持稳健增长。先进电先进电镀设备进入量产验证阶段,放量在即。镀设备进入量产验证阶段,放量在即。盛美上海已经成功研发多阳极局部电镀技术、前道铜互连电镀工艺,整机设备已进入量产验证,并已部分实现产线量产,得到客户肯定。2022 年盛美上海获得大量重复批量订单。根据公司 2022 年年报信息,公司将继续引领电镀设备国产化,并确立在本土 12 英寸铜互连电镀

96、设备市场的龙头地位,随着先进封装电镀设备、三维 TSV 电镀设备和高速电镀设备持续升级,公司将填补国内空白并形成批量销售。估值估值 预计盛美上海 2023/2024/2025 年营业收入分别为 40.04/51.61/62.21 亿元,2023/2024/2025 年 EPS 分别为 1.95/2.58/3.25 元。截至 2023 年 12 月 11 日,盛美上海市值 484 亿元,对应 2023/2024/2025年 PE 分别为 56.9/43.1/34.2 倍。考虑到公司半导体设备业务预计将保持较快增长,我们认为公司估值依然有上升空间,给予增持增持评级。评级面临的主要风险评级面临的主要

97、风险 下游市场需求不及预期。行业竞争格局加剧。技术研发和验证进度不及预期。地缘政治冲突缓和,美国放开科技制裁。Table_FinchinaSimple_index2 投资摘要投资摘要 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 主营收入(人民币 百万)1,621 2,873 4,004 5,161 6,221 增长率(%)60.9 77.3 39.4 28.9 20.5 EBITDA(人民币 百万)208 675 876 1,261 1,619 归母净利润(人民币 百万)266 668 850 1,124 1,415 增长率(%)35.3 1

98、51.1 27.2 32.2 25.9 最新股本摊薄每股收益(人民币)0.61 1.53 1.95 2.58 3.25 市盈率(倍)181.8 72.4 56.9 43.1 34.2 市净率(倍)10.1 8.8 7.8 6.9 6.0 EV/EBITDA(倍)250.4 48.3 51.9 36.4 27.6 每股股息(人民币)0.0 0.4 0.5 0.6 0.8 股息率(%)0.0 0.5 0.4 0.6 0.7 资料来源:公司公告,中银证券预测 (8%)6%19%32%46%59%Dec-22Jan-23Feb-23Mar-23Apr-23May-23Jun-23Jul-23Sep-2

99、3Oct-23Nov-23Dec-23盛美上海上证综指2023 年 12 月 13 日 盛美上海 29 盛美上海:半导体清洗设备盛美上海:半导体清洗设备龙头,电镀设备助力先进封装龙头,电镀设备助力先进封装 1998 年,盛美上海正式成立上海公司。公司主要产品为半导体清洗设备、半导体电镀设备和先进封装湿法设备等。公司连续多年被评为“中国半导体设备五强企业”,入选首批上海市科学技术委员会颁发的“上海市集成电路先进湿法工艺设备重点实验室”。在第五届中国集成电路创新联盟组织的“集成电路产业技术创新奖”(简称“IC 创新奖”)颁奖典礼中,公司前道铜互连电镀设备荣获第五届“IC 创新奖-成果产业化奖”。此

100、外,盛美被评为上海市“专精特新”企业。图表图表 34.盛美上海发展历史盛美上海发展历史 资料来源:盛美上海官网,中银证券 ACM Research 是盛美上海的第一大股东,持股 82.09%。上海集成电路产业投资基金股份有限公司和上海浦东新兴产业投资有限公司也是盛美上海的重要股东,分别持股 1.06%和 1.06%。图表图表 35.盛美上海前十大股东中的重要股东盛美上海前十大股东中的重要股东 注:时间截至2023年三季报 资料来源:ifind,中银证券 20192022 年,盛美上海营业收入从 7.6 亿元增长至 28.7 亿元,CAGR 达到 56%;净利润从 1.35 亿元增长至 6.73

101、 亿元,CAGR 达到 70%。在国内半导体设备国产替代需求持续增长的趋势下,盛美上海业绩也实现稳健增长。1998 2005 2009 2011-2013 2015 2016 2017 2018-H1 2018-H2 2019 2020 2021 2022成立上海公司成立上海公司在在50nm下提高良率,下提高良率,主要主要SAPS订单源于订单源于SK Hynix启动纳斯达克启动纳斯达克上市计划上市计划ACM成立于硅谷成立于硅谷SK Hynix开始评估开始评估TEBO装机至装机至HLMC YMTC,SMIC,和和HLMC的的SAPS订单订单TEBO经经3D晶圆验证晶圆验证R&D中心在韩国建中心在

102、韩国建立立Tahoe 评估评估P.O.第二工厂第二工厂扩充产能扩充产能盛美临港项目启动改制盛美临港项目启动改制成股份公司成股份公司获得首台立式炉和刷获得首台立式炉和刷洗机订单,发布洗机订单,发布18腔腔单片清洗设备、半关单片清洗设备、半关键系列清洗设备键系列清洗设备发布高速铜电镀技发布高速铜电镀技术、边缘湿法刻蚀术、边缘湿法刻蚀设备、单片高温硫设备、单片高温硫酸设备;酸设备;11月科创月科创板上市板上市推出新型化合物半推出新型化合物半导体设备系列、导体设备系列、ALD立式炉、立式炉、Track、PECVD等设备等设备2023 年 12 月 13 日 盛美上海 30 图表图表 36.盛美上海营业

103、收入和增长率盛美上海营业收入和增长率 图表图表 37.盛美上海盛美上海净利润和增长率净利润和增长率 资料来源:ifind,中银证券 资料来源:ifind,中银证券 20192022 年,盛美上海毛利率基本维持在 40%以上,净利率基本维持在 15%以上,公司呈现出稳健的盈利能力和较高的盈利质量。图表图表 38.盛美上海盛美上海毛利率和净利率毛利率和净利率 图表图表 39.盛美上海盛美上海销售、管理、研发费用率销售、管理、研发费用率 资料来源:ifind,中银证券 资料来源:ifind,中银证券 在清洗设备领域,盛美上海产品包括 SAPS 兆声波单片清洗设备、TEBO 兆声波单片清洗设备、高温单

104、片 SPM 设备、单片背面清洗设备、边缘湿法刻蚀设备、前道刷洗设备、全自动槽式清洗设备等。全球半导体清洗设备市场高度集中,尤其在单片清洗设备领域,DNS、TEL、LAM 和 SEMES 四家公司合计市场占有率达到 90%以上,其中 DNS 市场份额最高,市场占有率在 35%以上。本土 12 英寸晶圆厂清洗设备主要来自 DNS、盛美上海、LAM、TEL。目前,中国大陆能提供半导体清洗设备的企业较少,主要包括盛美上海、北方华创、芯源微、至纯科技等。盛美上海 2020 年营业收入超过10 亿元,2021 年营业收入超过 16 亿元,位列全国集成电路设备企业前三。盛美上海具备了成为国际领先集成电路设备

105、企业的基础和潜力。7.6 10.1 16.2 28.7 27.5 37.5%33.1%60.9%77.3%39.0%0%10%20%30%40%50%60%70%80%90%055单位:亿元营业收入(左)YoY(右)1.351.972.666.686.7345.8%45.9%35.3%151.1%52.6%0%20%40%60%80%100%120%140%160%012345678单位:亿元净利润(左)YoY(右)45.1%43.8%42.5%48.9%53.2%17.8%19.5%16.4%23.3%24.5%0.0%10.0%20.0%30.0%40.0%50.0%

106、60.0%毛利率净利率11.2%10.5%9.8%9.0%9.3%4.0%5.0%4.0%3.7%4.6%13.1%14.0%17.2%13.2%14.4%0.0%2.0%4.0%6.0%8.0%10.0%12.0%14.0%16.0%18.0%20.0%销售费用率管理费用率研发费用率2023 年 12 月 13 日 盛美上海 31 图表图表 40.ACM Research 主要产品演变情况和市场空间主要产品演变情况和市场空间 资料来源:ACM Research官网,中银证券 在后道先进封装设备领域,盛美上海产品包括先进封装电镀设备、涂胶设备、显影设备、湿法刻蚀设备、湿法去胶设备、金属剥离设备

107、、无应力抛光先进封装平坦化设备等。盛美上海在半导体先进封装领域进行差异化开发,解决了在更大电镀液流量下实现平稳电镀的难题,2022 年在高速电镀锡银方面也实现突破,在客户端成功量产。采用独创的第二阳极电场控制技术更好地控制晶圆平边或缺口区域的膜厚均匀性控制,实现高电流密度条件下的电镀,凸块产品的各项指标均满足客户要求。在针对高密度封装的电镀领域可以实现 2m超细 RDL 线的电镀以及包括铜、镍、锡、银和金在内的各种金属层电镀。自主开发的橡胶环密封专利技术可以实现更好的密封效果。2022 年进一步扩大市场规模并取得高端客户的批量订单。盛美上海的升级版 8/12 寸兼容的涂胶设备,用于晶圆级封装领

108、域的光刻胶和 Polyimide 涂布、软烤及边缘去除。涂胶腔内采用了公司特有的全方位无死角自动清洗技术,可缩短设备维护时间。这款升级版涂胶设备对盛美原有的涂胶设备性能和外观都进行了优化升级,可实现热板抽屉式抽出,方便维修及更换,并且能精确复位,有效保障工序运行。盛美上海的 Ultra C dv 显影设备可应用于晶圆级封装,是 WLP 光刻工艺中的步骤。设备可进行曝光后烘烤、显影和坚膜等关键步骤。设备具备灵活的喷嘴扫描系统,能够实现精准的药液控制,技术先进,使用便捷。盛美上海的湿法刻蚀设备使用化学药液进行晶圆球下金属层(UBM)的刻蚀工艺。该设备具备先进的喷嘴扫描系统,可提供行业领先的化学温度

109、控制、刻蚀均匀性,专注安全性,且药液回收使用可减少成本。盛美上海的 Ultra C pr 湿法去胶设备设计高效、控制精确,提升了安全性,提高了 WLP 产能。该设备将湿法槽式浸洗与单片晶圆清洗相结合,能够在灵活控制清洗的同时,最大限度地提高效率,也可与公司专有的 SAPS 兆声波清洗设备一同使用,以清除极厚或者极难去除的光刻胶涂层。盛美上海的湿法金属剥离(Metal Lift off)设备基于公司已有的湿法去胶设备平台,将槽式去胶浸泡模块与单片清洗腔体串联起来依序使用,在去胶的同时进行金属剥离。该设备可以在不同单片清洗腔中分别配置去胶功能和清洗功能,并通过优化腔体结构,实现易拆卸、清洗与维护,

110、以解决金属剥离工艺中残留物累积的问题。2023 年 12 月 13 日 盛美上海 32 盛美上海拓展开发适用于先进封装 3D 硅通孔及 2.5D 转接板中金属铜层平坦化工艺应用,为了解决工艺成本高,晶圆翘曲大的难点,利用无应力抛光的电化学抛光原理,相对比传统化学机械平坦化CMP,没有研磨液,抛光头,和抛光垫,仅使用可循环使用的电化学抛光液;并且不受铜层是否经过退火的影响,去除率稳定;通过与 CMP 工艺整合,先采用无应力抛光将晶圆铜膜减薄至小于0.5m0.2m 厚度,再退火处理,最后 CMP 工艺的解决方案,能够有效解决 CMP 工艺存在的技术和成本瓶颈。图表图表 41.盛美上海各半导体设备业

111、务营业收入盛美上海各半导体设备业务营业收入 资料来源:ifind,中银证券 盈利预测盈利预测 盛美上海的半导体设备覆盖清洗设备、先进封装湿法设备、其他设备(电镀设备)等多领域。在清洗设备领域,海外设备厂商 DNS、LAM、TEL 依然占据主要市场,国内设备厂商盛美上海、北方华创、芯源微、至纯科技等在持续升级产品。我们预计盛美上海的清洗设备业务有望持续受益于国内设备对于国产替代的需求,其营业收入有望实现稳健增长。同时随着产品技术升级,公司清洗设备的毛利率有望在 2023 年上升,此后维持在相对高位。在先进封装湿法设备领域,盛美上海覆盖湿法刻蚀、湿法去胶、湿法金属剥离等多款设备。随着全球大厂持续重

112、视在先进封装领域的投入,我们预计公司先进封装湿法设备营业收入有望维持稳健增长。考虑到新产品、新技术在难度和生产工艺上持续突破,产品高端化有望带动公司先进封装湿法设备毛利率在 2023 年显著提升,此后维持在相对高位。在其他设备领域,盛美上海成功研发多阳极局部电镀技术、前道铜互连电镀设备 Ultra ECP map 和电镀工艺,整机设备已进入量产验证,并已部分实现产线量产,得到客户肯定,2022 年获得大量重复批量订单。盛美上海继续引领电镀设备国产化,确立了公司在本土 12 英寸铜互连电镀设备市场的龙头地位。该技术进一步延伸到先进封装湿法设备领域,公司成功开发了先进封装电镀设备、三维 TSV电镀

113、设备和高速电镀设备,填补国内空白并形成批量销售。我们预计公司其他设备营业收入将维持较快增长,同时公司凭借在电镀设备领域的核心优势,毛利率有望在 2023 年进一步提升,此后维持在相对高位。2.15 5.01 6.25 9.00 10.56 20.78 0.00 0.26 0.40 0.99 2.18 1.60 0.05.010.015.020.025.02002020212022单位:亿元清洗设备先进封装湿法设备其他设备2023 年 12 月 13 日 盛美上海 33 图表图表 42.盛美上海盈利预测盛美上海盈利预测 时间时间 2021 2022 2023E 2024E

114、2025E 1、清洗设备 营业收入(百万元)1,056 2,078 2,698 3,331 3,874 YoY(%)29.4 96.8 29.9 23.4 16.3 毛利润(百万元)468 1,004 1,349 1,666 1,937 毛利率(%)44.3 48.3 50.0 50.0 50.0 2、先进封装湿法设备 营业收入(百万元)218 160 212 274 332 YoY(%)120.2(26.6)32.3 29.3 21.3 毛利润(百万元)55 51 85 109 133 毛利率(%)25.2 31.9 40.0 40.0 40.0 3、其他半导体设备 营业收入(百万元)274

115、 518 942 1,374 1,815 YoY(%)417.0 89.1 81.8 45.9 32.1 毛利润(百万元)116 270 518 756 998 毛利率(%)42.3 52.1 55.0 55.0 55.0 4、其他业务 营业收入(百万元)74 117 152 183 201 YoY(%)85.0 58.1 30.0 20.0 10.0 毛利润(百万元)50 81 106 128 141 毛利率(%)67.6 69.2 70.0 70.0 70.0 总营业收入(百万元)总营业收入(百万元)1,621 2,873 4,004 5,161 6,221 YoY(%)60.9 77.3

116、 39.4 28.9 20.5 总毛利润(百万元)总毛利润(百万元)690 1,405 2,058 2,658 3,208 毛利率(%)42.5 48.9 51.4 51.5 51.6 资料来源:ifind,中银证券 估值估值 我们选取了同为半导体设备行业的上市公司中微公司、拓荆科技、华海清科作为可比对象。盛美上海 2023/2024/2025 年的 PE 分别为 56.9/43.1/34.2 倍,而可比公司平均值为 69.2/50.5/38.5 倍。考虑到盛美上海在清洗设备、先进封装湿法设备、电镀设备等多领域有广泛布局。公司有望深度受益于半导体设备的国产替代和先进封装的行业需求。我们认为公司

117、估值仍有上升空间。首次覆盖,给予增持评级。图表图表 43.盛美上海盛美上海和同行企业估值对比和同行企业估值对比 证券代码证券代码 证券名称证券名称 总市值总市值 归母净利润(亿元)归母净利润(亿元)PE(倍)(倍)评级评级 (亿元)(亿元)2023E 2024E 2025E 2023E 2024E 2025E 688012.SH 中微公司 982 16.0 18.6 23.3 61.2 52.7 42.1 未有评级 688072.SH 拓荆科技 498 5.0 7.8 10.7 99.5 63.7 46.3 未有评级 688120.SH 华海清科 357 7.6 10.2 13.2 47.0

118、35.0 27.0 未有评级 平均值 69.2 50.5 38.5 688082.SH 盛美上海 484 8.5 11.2 14.2 56.9 43.1 34.2 增持 注:时间截至2023年12月11日。中微公司、拓荆科技、华海清科尚未覆盖,未有评级公司盈利预测均来自ifind一致预期。资料来源:ifind,中银证券 风险提示风险提示 下游市场需求不及预期。下游市场需求不及预期。宏观经济影响下,全球手机、平板、电脑、汽车等终端产品消费可能面临复苏递延的情况。如果下游需求低迷,中游晶圆、封测、芯片设计等环节厂商可能会下调资本开支,进而影响行业和公司的订单预期。行业竞争格局加剧。行业竞争格局加剧

119、。随着越来越多的厂商试图进入先进封装设备领域,行业竞争格局存在恶化的风险。如果市场发生价格战,行业和公司的盈利能力可能下滑。技术研发和验证进度不及预期。技术研发和验证进度不及预期。先进封装设备具有较高的研发门槛。新技术、新设备的精度、工作效率均会影响下游产线的生产质量和生产效率。如果行业和公司的相关产品在客户端验证进度不及预期,行业和公司的业绩可能会递延释放。地缘政治冲突缓和,美国放地缘政治冲突缓和,美国放开科技制裁。开科技制裁。美国对中国先进制程和先进封装的技术封锁亦为国产设备厂商提供了替代的机会。如果中美关系缓和或美国放宽制裁,国产设备厂商可能会面临外系设备厂商更为激烈的竞争。2023 年

120、 12 月 13 日 盛美上海 34 Table_FinchinaDetail_index2 利润表利润表(人民币人民币 百万百万)现金流量表现金流量表(人民币人民币 百万百万)年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 营业总收入 1,621 2,873 4,004 5,161 6,221 净利润 266 668 850 1,124 1,415 营业收入 1,621 2,873 4,004 5,161 6,221 折旧摊销 31 56 83 68

121、 72 营业成本 931 1,468 1,946 2,503 3,013 营运资金变动(490)(1,573)298(1,305)190 营业税金及附加 1 3 4 5 6 其他 3 580 257(294)18 销售费用 159 259 400 490 560 经营活动现金流经营活动现金流(189)(269)1,488(406)1,695 管理费用 64 106 200 248 286 资本支出(60)(686)(85)(75)(75)研发费用 278 380 601 723 809 投资变动(31)0 0 0 0 财务费用 8(80)(71)(74)(79)其他 21(1,199)63 2

122、4 1 其他收益 77 22 20 0 0 投资活动现金流投资活动现金流(70)(1,884)(22)(51)(74)资产减值损失 0(17)(40)0 0 银行借款(81)312 279 60(60)信用减值损失(10)(21)(20)0 0 股权融资 3,452(111)(205)(271)(341)资产处置收益 0 0 0 0 0 其他 23 72 73 73 79 公允价值变动收益 4(30)0 0 0 筹资活动现金流筹资活动现金流 3,395 273 148(139)(322)投资收益 19 26 60 26 0 净现金流净现金流 3,136(1,880)1,614(596)1,29

123、9 汇兑收益 0 0 0 0 0 资料来源:公司公告,中银证券预测 营业利润 268 717 945 1,292 1,626 营业外收入 1 0 0 0 0 财务指标财务指标 营业外支出 0 0 0 0 0 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 利润总额 269 717 945 1,292 1,626 成长能力成长能力 所得税 2 48 94 168 211 营业收入增长率(%)60.9 77.3 39.4 28.9 20.5 净利润 266 668 850 1,124 1,415 营业利润增长率(%)20.3 167.5 31.8 3

124、6.8 25.9 少数股东损益 0 0 0 0 0 归 属 于 母 公 司 净 利 润 增 长 率(%)35.3 151.1 27.2 32.2 25.9 归母净利润 266 668 850 1,124 1,415 息税前利润增长率(%)25.0 251.0 28.1 50.4 29.7 EBITDA 208 675 876 1,261 1,619 息税折旧前利润增长率(%)39.1 225.0 29.8 43.8 28.5 EPS(最新股本摊薄,元)0.61 1.53 1.95 2.58 3.25 EPS(最新股本摊薄)增长率(%)35.3 151.1 27.2 32.2 25.9 资料来源

125、:公司公告,中银证券预测 获利能力获利能力 息税前利润率(%)10.9 21.6 19.8 23.1 24.9 资产负债表资产负债表(人民币人民币 百万百万)营业利润率(%)16.5 24.9 23.6 25.0 26.1 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 毛利率(%)42.5 48.9 51.4 51.5 51.6 流动资产流动资产 5,807 6,300 7,917 9,547 10,855 归母净利润率(%)16.4 23.3 21.2 21.8 22.7 货币资金 3,403 1,562 3,177 2,581 3,880

126、ROE(%)5.5 12.1 13.8 16.0 17.5 应收账款 542 1,066 1,175 1,714 1,769 ROIC(%)12.4 16.5 20.6 21.7 29.3 应收票据 0 0 0 0 0 偿债能力偿债能力 存货 1,443 2,690 2,788 4,258 4,224 资产负债率 0.2 0.3 0.3 0.4 0.3 预付账款 104 133 181 223 263 净负债权益比(0.7)(0.2)(0.4)(0.2)(0.4)合同资产 0 27 9 37 18 流动比率 4.6 2.6 2.9 2.6 2.8 其他流动资产 315 822 588 734

127、701 营运能力营运能力 非流动资产非流动资产 530 1,875 1,476 1,684 1,586 总资产周转率 0.4 0.4 0.5 0.5 0.5 长期投资 62 78 78 78 78 应收账款周转率 4.1 3.6 3.6 3.6 3.6 固定资产 39 300 418 476 501 应付账款周转率 3.2 3.4 3.6 3.6 3.6 无形资产 65 87 98 105 110 费用率费用率 其他长期资产 365 1,410 881 1,024 897 销售费用率(%)9.8 9.0 10.0 9.5 9.0 资产合计资产合计 6,337 8,176 9,394 11,23

128、1 12,441 管理费用率(%)4.0 3.7 5.0 4.8 4.6 流动负债流动负债 1,265 2,397 2,709 3,689 3,828 研发费用率(%)17.2 13.2 15.0 14.0 13.0 短期借款 62 390 400 460 400 财务费用率(%)0.5(2.8)(1.8)(1.4)(1.3)应付账款 733 961 1,285 1,605 1,874 每股指标每股指标(元元)其他流动负债 469 1,045 1,024 1,624 1,554 每股收益(最新摊薄)0.6 1.5 2.0 2.6 3.2 非流动负债非流动负债 258 255 516 520 5

129、18 每股经营现金流(最新摊薄)(0.4)(0.6)3.4(0.9)3.9 长期借款 146 130 400 400 400 每股净资产(最新摊薄)11.1 12.7 14.2 16.1 18.6 其他长期负债 111 125 116 120 118 每股股息 0.0 0.4 0.5 0.6 0.8 负债合计负债合计 1,522 2,652 3,225 4,209 4,346 估值比率估值比率 股本 434 434 434 434 434 P/E(最新摊薄)181.8 72.4 56.9 43.1 34.2 少数股东权益 0 0 0 0 0 P/B(最新摊薄)10.1 8.8 7.8 6.9

130、6.0 归属母公司股东权益 4,815 5,524 6,169 7,022 8,095 EV/EBITDA 250.4 48.3 51.9 36.4 27.6 负债和股东权益合计负债和股东权益合计 6,337 8,176 9,394 11,231 12,441 价格/现金流(倍)(255.9)(180.1)32.5(119.2)28.6 资料来源:公司公告,中银证券预测 资料来源:公司公告,中银证券预测 机械设备机械设备|证券研究报告证券研究报告 首次评级首次评级 2023 年年 12 月月 13 日日 603283.SH 买入买入 市场价格市场价格:人民币人民币 75.78 板块评级板块评级

131、:强于大市强于大市 股价表现股价表现 (%)今年今年至今至今 1 个月个月 3 个月个月 12 个月个月 绝对 129.4 18.5 78.1 115.0 相对上证综指 133.4 20.1 83.0 121.7 发行股数(百万)200.32 流通股(百万)186.05 总市值(人民币 百万)15,179.96 3 个月日均交易额(人民币 百万)337.53 主要股东 孙丰 21.23 资料来源:公司公告,Wind,中银证券 以2023年12月11日收市价为标准 中银国际证券股份有限公司中银国际证券股份有限公司 具备证券投资咨询业务资格具备证券投资咨询业务资格 机械设备:自动化设备机械设备:自

132、动化设备 证券分析师:苏凌瑶证券分析师:苏凌瑶 证券投资咨询业务证书编号:S03 联系人:茅珈恺联系人:茅珈恺 一般证券业务证书编号:S16 联系人:李圣宣联系人:李圣宣 一般证券业务证书编号:S20 赛腾股份赛腾股份 收购OPTIMA,半导体+消费电子两翼齐飞 赛腾股份通过收购赛腾股份通过收购 Optima 切入半导体领域,海外切入半导体领域,海外 HBM 大厂扩产在即,公司大厂扩产在即,公司量测设备有望在海外大厂适配中印证产品性能,进而发力国内市场。量测设备有望在海外大厂适配中印证产品性能,进而发力国内市场。首次覆首次覆盖,

133、给予盖,给予买入买入评级。评级。支撑评级的要点支撑评级的要点 半导体业务亟待突破放量。半导体业务亟待突破放量。公司通过收购全球领先的晶圆检测设备供应商日本 OPTIMA 涉足晶圆检测装备领域,经不断的努力陆续扩充了半导体设备种类,实现了在国内高端集成电路设备市场的进一步突破。通过“全球技术+中国市场”战略,迅速打开国内市场空间,并实现技术本地化融合迭代。海外原厂海外原厂 HBM 军备竞赛,量测设备不可或缺。军备竞赛,量测设备不可或缺。SK 海力士副会长兼联席CEO 朴正浩透露,今年海力士 HBM 芯片出货量为 50 万颗,预计到 2030年将达到每年 1 亿颗。集邦咨询指出,2023 下半年伴

134、随 NVIDIA H100 与AMD MI300 的搭载,三大原厂也已规划相对应规格 HBM3 的量产。晶圆检测是所有半导体检测赛道中壁垒最高的环节之一。考虑 HBM 对检测要求更高,公司相关设备有望充分受益这一大势。显示创新显示创新+MR 新品,助力公司消费电子业务更进一步。新品,助力公司消费电子业务更进一步。伴随苹果 vision pro 的登场,光学显示技术的技术革新为消费电子注入新的增长动能,虚拟现实类可穿戴设备有望成为智能手机、平板电脑、个人电脑等终端的新增长点。在消费电子产品多样化、智能化发展趋势下,市场规模扩大,也拉升了对上游中高端电子产品测试设备的市场需求,公司自动化测试设备融

135、入电子产品生产线有望逐渐成为市场主流。估值估值 我们预计赛腾股份2023/2024/2025年营业收入分别为40.34/53.39/66.72亿元,2023/2024/2025 年 EPS 分别为 2.37/3.15/4.20 元。截至 2023 年 12 月 8 日,赛腾股份市值 152 亿元,对应 2023/2024/2025 年PE 分别为 32.0/24.0/18.1 倍。考虑到公司半导体设备业务预计将保持较快增长,我们认为公司估值依然有上升空间,给予“买入买入”评级。评级面临的主要风险评级面临的主要风险 下游市场需求不及预期。行业竞争格局加剧。技术研发和验证进度不及预期。地缘政治冲突

136、缓和,美国放开科技制裁。Table_FinchinaSimple_index3 投资摘要投资摘要 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 主营收入(人民币 百万)2,319 2,930 4,034 5,339 6,672 增长率(%)14.3 26.4 37.7 32.3 25.0 EBITDA(人民币 百万)261 371 592 829 1,081 归母净利润(人民币 百万)179 307 474 632 841 增长率(%)2.5 71.2 54.5 33.2 33.0 最新股本摊薄每股收益(人民币)0.90 1.53 2.37 3

137、.15 4.20 市盈率(倍)84.6 49.4 32.0 24.0 18.1 市净率(倍)11.1 9.0 7.6 6.3 5.1 EV/EBITDA(倍)22.0 16.0 26.3 18.4 13.9 每股股息(人民币)0.3 0.5 0.8 1.0 1.4 股息率(%)1.1 1.8 1.0 1.4 1.8 资料来源:公司公告,中银证券预测 (17%)13%43%73%103%133%Dec-22Jan-23Feb-23Mar-23Apr-23May-23Jun-23Jul-23Sep-23Oct-23Nov-23Dec-23赛腾股份上证综指2023 年 12 月 13 日 赛腾股份

138、36 赛腾股份:并购赛腾股份:并购OPTIMA,半导体,半导体+消费电子两翼齐飞消费电子两翼齐飞 2007 年 6 月,赛腾股份在苏州成立,主要从事自动化生产设备的研发、设计、销售及技术服务,为客户实现生产智能化提供系统解决方案,主要产品包括自动化组装设备、自动化检测设备及治具类产品。公司深耕消费电子领域,于 2011 年通过苹果公司合格供应商认证。此后,公司通过外延并购方式,形成“消费电子+半导体+新能源”协同发展格局:1)2017 年,公司通过收购无锡昌鼎进入半导体封测领域、通过收购赛腾菱欧进入新能源汽车领域;2)2019 年,公司通过收购 OPTIMA,进入晶圆检测领域。目前,公司产品主

139、要运用于消费电子、半导体、新能源等行业,适用于智能手机、平板电脑、笔记本电脑、可穿戴设备、新能源零部件、锂电池、8 寸/12 寸晶圆等。图表图表 44.赛腾股份发展历程赛腾股份发展历程 资料来源:公司官网,中银证券 公司股权较为集中,创始人为实际控制人。截至 2023 年三季度末,公司的两位创始人孙丰先生、曾慧女士分别持股 21.23%,21.10%,为公司前两大股东暨实际控制人。孙丰先生、曾慧女士双方于 2023年 1 月 9 日解除婚姻关系,并于 2023 年 1 月 31 日完成股份分割登记手续,公司控制权可能会发生变更。图表图表 45.赛腾股份股权结构赛腾股份股权结构 注:时间截至20

140、23年三季报 资料来源:ifind,中银证券 “消费电子消费电子+新能源汽车新能源汽车+半导体半导体”三大领域布局,下游客户优质。三大领域布局,下游客户优质。公司深耕智能制造装备行业多年,主营业务涵盖消费电子、新能源汽车、半导体三大领域,并积极拓展光伏、动力电池等赛道。目前,已与多家国内外知名企业建立合作关系。2023 年 12 月 13 日 赛腾股份 37 图表图表 46.赛腾股份主要产品赛腾股份主要产品 所属行业所属行业 主要产品主要产品 主要客户主要客户 消 费 电 子 行消 费 电 子 行业业 组装设备组装设备 微小尺寸标签贴标机 苹果公司等 闪光灯组装设备 全自动包装设备 覆膜包装机

141、 Logo 组装设备 热熔机 电池、背胶和机壳组装设备 泡棉贴装设备 按键测试流水线 自动裹膜机 检测设备检测设备 间隙检测设备 平面度检测设备 按键测试设备 机壳自动分选设备气密性测试设备 汽车行业汽车行业 汽车发动机 IMS 传感器装配线 大陆汽车、日本电厂、村田新能源等 磁铁支架传感器装配线 电机转子组装线 轴承压装设备 半导体行业半导体行业 硅片边缘缺陷自动检测设备 RXW-1200 sumco、sksiltron、samsung、奕斯伟、中环半导体、金瑞泓等 晶圆片用背面检测设备 BMW-1200 边缘/表背面符合检测设备 RXM-1200 AXM-1200 资料来源:赛腾股份官网,

142、赛腾股份2023年半年报,中银证券整理 从公司产品来看,公司主营业务收入包括自动化设备、夹治具、技术服务,其中自动化设备收入占据营收首位,超 6 成。从下游应用领域来看,公司于 2011 年进入苹果公司优秀供应商名录,自此进入公司消费电子业务发展迅速,公司 2022 年来自消费电子行业营收占比超 8 成。图表图表 47.2022 年年公司营收行公司营收行业构成业构成 图表图表 48.公司营收产品构成公司营收产品构成 资料来源:ifind,中银证券 资料来源:ifind,中银证券 2023 前三季度,公司实现营收 26.23 亿元,实现归母净利 3.99 亿元。公司营收 2019-2022 年

143、CAGR达 48.0%;归母净利 2019-2022 年 CAGR 达 35.9%。公司营收/归母净利延续高景气,主要系公司深耕智能制造装备行业多年,优质客户积累较多,实现合作共赢,销售规模持续增长。83.69%9.94%6.13%0.24%消费电子半导体新能源汽车其他业务0%20%40%60%80%100%200212022自动化设备夹治具技术服务其他2023 年 12 月 13 日 赛腾股份 38 图表图表 49.公司营收增长稳定公司营收增长稳定 图表图表 50.公司盈利能力持续提升公司盈利能力持续提升 资料来源:ifind,中银证券 资料来源:ifind,中银证券

144、盈利水平持续攀升,费用控制稳定。盈利水平持续攀升,费用控制稳定。利率方面,2020 年以来公司半导体业务开始放量,助力公司保持盈利能力,2020-2023 前三季度,公司毛利率及净利率总体稳中有升,截至 2023Q3,公司前三季度毛利率为 44.8%,净利率为 15.2%。费用方面,尽管费用规模随销售规模有所增长,但公司费用率整体管控较好。图表图表 51.公司毛利率净利率稳步提升公司毛利率净利率稳步提升 图表图表 52.公司费用管控能力较好公司费用管控能力较好 资料来源:ifind,中银证券 资料来源:ifind,中银证券 2019 年,公司通过收购 Optima 进军半导体量测设备领域。Op

145、tima 主要产品包括硅片边缘缺陷自动检测设备 BXW-1200、晶圆片用背面检测设备 BMW-1200、边缘/表背面符合检测设备 RXM-1200、AXM-1200。在 Optima 产品系列基础上,公司持续加大研发投入,成功推出晶圆包装机、倒角轮廓机、倒角粗糙度量测、晶圆字符检测机、晶圆激光打标机、晶圆激光开槽机等设备。图表图表 53.Optima 产品解决方案产品解决方案 资料来源:公司官网,中银证券 2023 年 12 月 13 日 赛腾股份 39 量量/检测是半导体制造重要的质量检查工艺,主要包括量测检测是半导体制造重要的质量检查工艺,主要包括量测&缺陷检测两大类。缺陷检测两大类。涉

146、及膜厚、折射率等参数测量、各类表面缺陷检测等需求,对硅片厂/晶圆厂保障产品良率、产品一致性、降低成本等至关重要。Chiplet 由于使用多颗 Die 堆叠,因此使用的量测设备相较于单片式的 SoC 将大大增加。根据 SEMI 数据,2019 年量/检测设备在半导体设备中价值量占比达到 11%,仅次于三大核心设备(薄膜沉积、光刻和刻蚀),排名第四。图表图表 54.全球及中国量测设备市场规模全球及中国量测设备市场规模 资料来源:Gartner,中银证券 全球范围内来看,KLA 在半导体量/检测设备领域一家独大。前道晶圆量/检测设备技术壁垒较高,全球市场长期由 KLA、AMAT、Hitachi 等海

147、外龙头主导。考虑美国不断更新对中国大陆的芯片出口管制条款,直接推动国内半导体厂商高度重视供应链安全,设备国产化率有望进一步提升。根据集邦咨询消息,海外大厂三星、美光等在积极扩产 HBM,这也为公司带来了发展机遇期。图表图表 55.2020 年全球量测设备市场竞争格局年全球量测设备市场竞争格局 资料来源:中科飞测招股书,中银证券 -20%-10%0%10%20%30%40%50%60%70%020406080002020212022单位:亿美元全球量测设备市场规模(左)中国量测设备市场规模(左)全球市场增速(右)中国市场增速(右)2023 年 12 月

148、 13 日 赛腾股份 40 盈利预测盈利预测 赛腾股份的具体业务主要包括半导体、消费电子、新能源业务。我们认为半导体业务及消费电子业务有望成为公司成长双轮。在半导体业务方面,公司通过前期收购全球领先的晶圆检测设备供应商 Optima,成功切入市场,并有望成为公司的第二成长曲线。目前,公司半导体量/检测设备板块订单充裕,且无图形晶圆检测设备产量保持稳定增长。此外,公司无图形晶圆检测设备有望在今年在国内晶圆厂取得进展,除了之前的硅片厂客户,还有望将业务扩展至 Fab 厂。我们预计公司半导体业务营业收入将呈现较快增长,同时随着量测设备形成规模化效应,毛利率有望上升并维持在相对较高的水平。在消费电子业

149、务方面,伴随苹果 vision pro 的登场,光学显示技术的技术革新为消费电子注入新的增长动能,虚拟现实类可穿戴设备有望成为智能手机、平板电脑、个人电脑等终端的新增长点。在消费电子产品多样化、智能化发展趋势下,市场规模扩大,也拉升了对上游中高端电子产品测试设备的市场需求,公司自动化测试设备融入电子产品生产线有望逐渐成为市场主流。我们预计公司消费电子业务将呈现稳健增长,同时毛利率有望小幅上升并维持在相对较高的水平。图表图表 56.赛腾股份赛腾股份盈利预测盈利预测 时间时间 2021 2022 2023E 2024E 2025E 1、半导体 营业收入(百万元)217 291 606 951 1,

150、340 YoY(%)34.1 108.2 56.9 40.9 毛利润(百万元)97 131 303 476 670 毛利率(%)44.7 45.0 50.0 50.0 50.0 2、消费电子 营业收入(百万元)1,880 2,452 3,170 3,958 4,679 YoY(%)30.4 29.3 24.9 18.2 毛利润(百万元)770 1,006 1,331 1,662 1,965 毛利率(%)41.0 41.0 42.0 42.0 42.0 3、新能源 营业收入(百万元)216 180 250 420 642 YoY(%)(16.7)38.9 68.0 52.9 毛利润(百万元)39

151、 37 63 105 161 毛利率(%)18.1 20.6 25.2 25.0 25.1 4、其他业务 营业收入(百万元)6 7 9 11 12 YoY(%)16.7 30.0 20.0 10.0 毛利润(百万元)1 1 1 1 1 毛利率(%)16.7 14.3 10.0 10.0 10.0 总营业收入(百万元)总营业收入(百万元)2,319 2,930 4,034 5,339 6,672 YoY(%)26.4 37.7 32.3 25.0 总毛利润(百万元)总毛利润(百万元)912 1,181 1,698 2,244 2,797 毛利率(%)39.1 40.1 42.1 42.0 41.

152、9 资料来源:ifind,中银证券 估值估值 我们选取了半导体设备行业的上市公司中微公司、拓荆科技、华海清科作为可比对象。赛腾股份2023/2024/2025 年的 PE 分别为 32.0/24.0/18.1 倍,而可比公司平均值为 69.2/50.5/38.5 倍。考虑到赛腾股份半导体业务及消费电子业务有望成为公司成长双轮。公司有望深度受益于半导体设备的国产替代和先进封装的行业需求。我们认为公司估值较可比公司仍有上升空间。首次覆盖,给予买入评级。2023 年 12 月 13 日 赛腾股份 41 图表图表 57.赛腾股份和同行企业估值对比赛腾股份和同行企业估值对比 N 证券名称证券名称 总市值

153、总市值 归母净利润(亿元)归母净利润(亿元)PE(倍)(倍)评级评级 (亿元)(亿元)2023E 2024E 2025E 2023E 2024E 2025E 688012.SH 中微公司 982 16.0 18.6 23.3 61.2 52.7 42.1 未有评级 688072.SH 拓荆科技 498 5.0 7.8 10.7 99.5 63.7 46.3 未有评级 688120.SH 华海清科 357 7.6 10.2 13.2 47.0 35.0 27.0 未有评级 平均值 69.2 50.5 38.5 603283.SH 赛腾股份 152 4.7 6.3 8.4 32.0 24.0 18

154、.1 买入 注:时间截至2023年12月11日。中微公司、拓荆科技、华海清科尚未覆盖,未有评级公司盈利预测均来自ifind一致预期。资料来源:ifind,中银证券 风险提示风险提示 下游市场需求不及预期。下游市场需求不及预期。宏观经济影响下,全球手机、平板、电脑、汽车等终端产品消费可能面临复苏递延的情况。如果下游需求低迷,中游晶圆、封测、芯片设计等环节厂商可能会下调资本开支,进而影响行业和公司的订单预期。行业竞争格局加剧。行业竞争格局加剧。随着越来越多的厂商试图进入先进封装设备领域,行业竞争格局存在恶化的风险。如果市场发生价格战,行业和公司的盈利能力可能下滑。技术研发和验证进度不及预期。技术研

155、发和验证进度不及预期。先进封装设备具有较高的研发门槛。新技术、新设备的精度、工作效率均会影响下游产线的生产质量和生产效率。如果行业和公司的相关产品在客户端验证进度不及预期,行业和公司的业绩可能会递延释放。地缘政治冲突缓和,美国放开科技制裁。地缘政治冲突缓和,美国放开科技制裁。美国对中国先进制程和先进封装的技术封锁亦为国产设备厂商提供了替代的机会。如果中美关系缓和或美国放宽制裁,国产设备厂商可能会面临外系设备厂商更为激烈的竞争。2023 年 12 月 13 日 赛腾股份 42 Table_FinchinaDetail_index3 利润表利润表(人民币人民币 百万百万)现金流量表现金流量表(人民

156、币人民币 百万百万)年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 年结日:年结日:12 月月 31 日日 2021 2022 2023E 2024E 2025E 营业总收入 2,319 2,930 4,034 5,339 6,672 净利润 191 321 489 652 867 营业收入 2,319 2,930 4,034 5,339 6,672 折旧摊销 53 66 77 86 93 营业成本 1,412 1,755 2,337 3,096 3,875 营运资金变动 220(71)(472)(156)(494)营业税金及附加 18 29 6

157、5 85 107 其他(25)43(34)8(15)销售费用 223 281 403 507 600 经营活动现金流经营活动现金流 438 359 60 589 451 管理费用 207 259 303 374 434 资本支出(107)(170)(56)(56)(56)研发费用 249 300 403 534 667 投资变动(48)15 0 0 0 财务费用 46(5)(6)(6)(8)其他(13)51 0 0 0 其他收益 36 34 40 0 0 投资活动现金流投资活动现金流(168)(104)(56)(56)(56)资产减值损失(5)(12)0 0 0 银行借款(117)3 428(

158、12)211 信用减值损失 2 11(8)0 0 股权融资(42)(199)(156)(208)(277)资产处置收益 0 0 0 0 0 其他(59)43(8)13 4 公允价值变动收益(5)7 0 0 0 筹资活动现金流筹资活动现金流(218)(153)264(207)(62)投资收益 7 2 0 0 0 净现金流净现金流 53 102 268 326 333 汇兑收益 0 0 0 0 0 资料来源:公司公告,中银证券预测 营业利润 200 353 562 749 996 营业外收入 2 1 0 0 0 财务指标财务指标 营业外支出 4 3 0 0 0 年结日:年结日:12 月月 31 日

159、日 2021 2022 2023E 2024E 2025E 利润总额 198 352 562 749 996 成长能力成长能力 所得税 7 30 73 97 130 营业收入增长率(%)14.3 26.4 37.7 32.3 25.0 净利润 191 321 489 652 867 营业利润增长率(%)0.4 76.5 59.1 33.2 33.0 少数股东损益 12 14 15 20 26 归 属 于 母 公 司 净 利 润 增 长 率(%)2.5 71.2 54.5 33.2 33.0 归母净利润 179 307 474 632 841 息税前利润增长率(%)5.8 46.3 69.0 4

160、4.2 33.0 EBITDA 261 371 592 829 1,081 息税折旧前利润增长率(%)11.3 42.1 59.7 39.9 30.5 EPS(最新股本摊薄,元)0.90 1.53 2.37 3.15 4.20 EPS(最新股本摊薄)增长率(%)2.5 71.2 54.5 33.2 33.0 资料来源:公司公告,中银证券预测 获利能力获利能力 息税前利润率(%)9.0 10.4 12.8 13.9 14.8 资产负债表资产负债表(人民币人民币 百万百万)营业利润率(%)8.6 12.1 13.9 14.0 14.9 年结日:年结日:12 月月 31 日日 2021 2022 2

161、023E 2024E 2025E 毛利率(%)39.1 40.1 42.1 42.0 41.9 流动资产流动资产 2,560 3,581 4,711 6,226 7,487 归母净利润率(%)7.7 10.5 11.8 11.8 12.6 货币资金 477 741 1,009 1,335 1,668 ROE(%)13.1 18.3 23.8 26.1 28.2 应收账款 1,051 809 1,752 1,637 2,598 ROIC(%)11.1 14.3 18.2 25.3 28.5 应收票据 0 16 6 23 13 偿债能力偿债能力 存货 833 1,620 1,647 2,680 2

162、,737 资产负债率 0.6 0.6 0.7 0.7 0.6 预付账款 33 61 64 101 106 净负债权益比 0.4 0.2 0.2 0.0 0.0 合同资产 0 0 0 0 0 流动比率 1.3 1.3 1.3 1.4 1.4 其他流动资产 166 336 233 450 366 营运能力营运能力 非流动资产非流动资产 1,173 1,261 1,267 1,224 1,194 总资产周转率 0.7 0.7 0.7 0.8 0.8 长期投资 0 5 5 5 5 应收账款周转率 1.9 3.2 3.2 3.2 3.2 固定资产 508 552 585 587 570 应付账款周转率

163、5.1 4.7 4.9 4.9 4.9 无形资产 98 78 68 58 48 费用率费用率 其他长期资产 566 627 610 575 571 销售费用率(%)9.6 9.6 10.0 9.5 9.0 资产合计资产合计 3,733 4,842 5,978 7,450 8,681 管理费用率(%)8.9 8.8 7.5 7.0 6.5 流动负债流动负债 1,978 2,819 3,542 4,611 5,253 研发费用率(%)10.7 10.3 10.0 10.0 10.0 短期借款 628 694 1,043 1,071 1,282 财务费用率(%)2.0(0.2)(0.2)(0.1)(

164、0.1)应付账款 476 762 886 1,297 1,437 每股指标每股指标(元元)其他流动负债 874 1,363 1,613 2,243 2,534 每股收益(最新摊薄)0.9 1.5 2.4 3.2 4.2 非流动负债非流动负债 331 276 356 316 316 每股经营现金流(最新摊薄)2.2 1.8 0.3 2.9 2.2 长期借款 323 260 340 300 300 每股净资产(最新摊薄)6.8 8.4 10.0 12.1 14.9 其他长期负债 8 15 16 16 16 每股股息 0.3 0.5 0.8 1.0 1.4 负债合计负债合计 2,309 3,095

165、3,898 4,927 5,569 估值比率估值比率 股本 182 191 200 200 200 P/E(最新摊薄)84.6 49.4 32.0 24.0 18.1 少数股东权益 55 68 83 102 128 P/B(最新摊薄)11.1 9.0 7.6 6.3 5.1 归属母公司股东权益 1,369 1,679 1,997 2,421 2,984 EV/EBITDA 22.0 16.0 26.3 18.4 13.9 负债和股东权益合计负债和股东权益合计 3,733 4,842 5,978 7,450 8,681 价格/现金流(倍)34.6 42.2 254.4 25.8 33.7 资料来

166、源:公司公告,中银证券预测 资料来源:公司公告,中银证券预测 2023 年 12 月 13 日 先进封装设备行业深度 43 披露声明披露声明 本报告准确表述了证券分析师的个人观点。该证券分析师声明,本人未在公司内、外部机构兼任有损本人独立性与客观性的其他职务,没有担任本报告评论的上市公司的董事、监事或高级管理人员;也不拥有与该上市公司有关的任何财务权益;本报告评论的上市公司或其它第三方都没有或没有承诺向本人提供与本报告有关的任何补偿或其它利益。中银国际证券股份有限公司同时声明,将通过公司网站披露本公司授权公众媒体及其他机构刊载或者转发证券研究报告有关情况。如有投资者于未经授权的公众媒体看到或从

167、其他机构获得本研究报告的,请慎重使用所获得的研究报告,以防止被误导,中银国际证券股份有限公司不对其报告理解和使用承担任何责任。评级体系说明评级体系说明 以报告发布日后公司股价/行业指数涨跌幅相对同期相关市场指数的涨跌幅的表现为基准:公司投资评级:公司投资评级:买 入:预计该公司股价在未来 6-12 个月内超越基准指数 20%以上;增 持:预计该公司股价在未来 6-12 个月内超越基准指数 10%-20%;中 性:预计该公司股价在未来 6-12 个月内相对基准指数变动幅度在-10%-10%之间;减 持:预计该公司股价在未来 6-12 个月内相对基准指数跌幅在 10%以上;未有评级:因无法获取必要

168、的资料或者其他原因,未能给出明确的投资评级。行业投资评级:行业投资评级:强于大市:预计该行业指数在未来 6-12 个月内表现强于基准指数;中 性:预计该行业指数在未来 6-12 个月内表现基本与基准指数持平;弱于大市:预计该行业指数在未来 6-12 个月内表现弱于基准指数;未有评级:因无法获取必要的资料或者其他原因,未能给出明确的投资评级。沪深市场基准指数为沪深 300 指数;新三板市场基准指数为三板成指或三板做市指数;香港市场基准指数为恒生指数或恒生中国企业指数;美股市场基准指数为纳斯达克综合指数或标普 500 指数。风险提示及免责声明风险提示及免责声明 本报告由中银国际证券股份有限公司证券

169、分析师撰写并向特定客户发布。本报告发布的特定客户包括:1)基金、保险、QFII、QDII 等能够充分理解证券研究报告,具备专业信息处理能力的中银国际证券股份有限公司的机构客户;2)中银国际证券股份有限公司的证券投资顾问服务团队,其可参考使用本报告。中银国际证券股份有限公司的证券投资顾问服务团队可能以本报告为基础,整合形成证券投资顾问服务建议或产品,提供给接受其证券投资顾问服务的客户。中银国际证券股份有限公司不以任何方式或渠道向除上述特定客户外的公司个人客户提供本报告。中银国际证券股份有限公司的个人客户从任何外部渠道获得本报告的,亦不应直接依据所获得的研究报告作出投资决策;需充分咨询证券投资顾问

170、意见,独立作出投资决策。中银国际证券股份有限公司不承担由此产生的任何责任及损失等。本报告内含保密信息,仅供收件人使用。阁下作为收件人,不得出于任何目的直接或间接复制、派发或转发此报告全部或部分内容予任何其他人,或将此报告全部或部分内容发表。如发现本研究报告被私自刊载或转发的,中银国际证券股份有限公司将及时采取维权措施,追究有关媒体或者机构的责任。所有本报告内使用的商标、服务标记及标记均为中银国际证券股份有限公司或其附属及关联公司(统称“中银国际集团”)的商标、服务标记、注册商标或注册服务标记。本报告及其所载的任何信息、材料或内容只提供给阁下作参考之用,并未考虑到任何特别的投资目的、财务状况或特

171、殊需要,不能成为或被视为出售或购买或认购证券或其它金融票据的要约或邀请,亦不构成任何合约或承诺的基础。中银国际证券股份有限公司不能确保本报告中提及的投资产品适合任何特定投资者。本报告的内容不构成对任何人的投资建议,阁下不会因为收到本报告而成为中银国际集团的客户。阁下收到或阅读本报告须在承诺购买任何报告中所指之投资产品之前,就该投资产品的适合性,包括阁下的特殊投资目的、财务状况及其特别需要寻求阁下相关投资顾问的意见。尽管本报告所载资料的来源及观点都是中银国际证券股份有限公司及其证券分析师从相信可靠的来源取得或达到,但撰写本报告的证券分析师或中银国际集团的任何成员及其董事、高管、员工或其他任何个人

172、(包括其关联方)都不能保证它们的准确性或完整性。除非法律或规则规定必须承担的责任外,中银国际集团任何成员不对使用本报告的材料而引致的损失负任何责任。本报告对其中所包含的或讨论的信息或意见的准确性、完整性或公平性不作任何明示或暗示的声明或保证。阁下不应单纯依靠本报告而取代个人的独立判断。本报告仅反映证券分析师在撰写本报告时的设想、见解及分析方法。中银国际集团成员可发布其它与本报告所载资料不一致及有不同结论的报告,亦有可能采取与本报告观点不同的投资策略。为免生疑问,本报告所载的观点并不代表中银国际集团成员的立场。本报告可能附载其它网站的地址或超级链接。对于本报告可能涉及到中银国际集团本身网站以外的

173、资料,中银国际集团未有参阅有关网站,也不对它们的内容负责。提供这些地址或超级链接(包括连接到中银国际集团网站的地址及超级链接)的目的,纯粹为了阁下的方便及参考,连结网站的内容不构成本报告的任何部份。阁下须承担浏览这些网站的风险。本报告所载的资料、意见及推测仅基于现状,不构成任何保证,可随时更改,毋须提前通知。本报告不构成投资、法律、会计或税务建议或保证任何投资或策略适用于阁下个别情况。本报告不能作为阁下私人投资的建议。过往的表现不能被视作将来表现的指示或保证,也不能代表或对将来表现做出任何明示或暗示的保障。本报告所载的资料、意见及预测只是反映证券分析师在本报告所载日期的判断,可随时更改。本报告

174、中涉及证券或金融工具的价格、价值及收入可能出现上升或下跌。部分投资可能不会轻易变现,可能在出售或变现投资时存在难度。同样,阁下获得有关投资的价值或风险的可靠信息也存在困难。本报告中包含或涉及的投资及服务可能未必适合阁下。如上所述,阁下须在做出任何投资决策之前,包括买卖本报告涉及的任何证券,寻求阁下相关投资顾问的意见。中银国际证券股份有限公司及其附属及关联公司版权所有。保留一切权利。中银国际证券股份有限公司中银国际证券股份有限公司 中国上海浦东 银城中路 200 号 中银大厦 39 楼 邮编 200121 电话:(8621)6860 4866 传真:(8621)5888 3554 相关关联机构:

175、相关关联机构:中银国际研究有限公司中银国际研究有限公司 香港花园道一号 中银大厦二十楼 电话:(852)3988 6333 致电香港免费电话:中国网通 10 省市客户请拨打:10800 8521065 中国电信 21 省市客户请拨打:10800 1521065 新加坡客户请拨打:800 852 3392 传真:(852)2147 9513 中银国际证券有限公司中银国际证券有限公司 香港花园道一号 中银大厦二十楼 电话:(852)3988 6333 传真:(852)2147 9513 中银国际控股有限公司北京代表处中银国际控股有限公司北京代表处 中国北京市西城区 西单北大街 110 号 8 层

176、邮编:100032 电话:(8610)8326 2000 传真:(8610)8326 2291 中银国际中银国际(英国英国)有限公司有限公司 2/F,1 Lothbury London EC2R 7DB United Kingdom 电话:(4420)3651 8888 传真:(4420)3651 8877 中银国际中银国际(美国美国)有限公司有限公司 美国纽约市美国大道 1045 号 7 Bryant Park 15 楼 NY 10018 电话:(1)212 259 0888 传真:(1)212 259 0889 中银国际中银国际(新加坡新加坡)有限公司有限公司 注册编号 199303046Z 新加坡百得利路四号 中国银行大厦四楼(049908)电话:(65)6692 6829/6534 5587 传真:(65)6534 3996/6532 3371

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(先进封装设备行业深度:先进封装趋势起资本开支繁荣期助力设备-231213(44页).pdf)为本站 (小熊小小) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部