上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

拓荆科技-公司研究报告-半导体薄膜沉积设备国产领军者产品体系持续完善打开成长空间-240301(58页).pdf

编号:155071  PDF  PPTX 58页 2.72MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-公司研究报告-半导体薄膜沉积设备国产领军者产品体系持续完善打开成长空间-240301(58页).pdf

1、拓荆科技(688072):半导体薄膜沉积设备国产领军者,产品体系持续完善打开成长空间仅供机构投资者使用仅供机构投资者使用证券研究报告证券研究报告/公司深度公司深度研究报告研究报告【华西机械华西机械&电子团队电子团队】刘泽晶:刘泽晶:S02S02请仔细阅读在本报告尾部的重要法律声明请仔细阅读在本报告尾部的重要法律声明2024年3月1日评级:评级:增持增持上次评级:首次覆盖目标价格:最新收盘价:190.38股票代码:股票代码:68807268807252 周最高价/最低价:330.80/140.50总市值(亿)358.27自由流通市值(亿)195.47自

2、由流通股数(百万)102.68证券研究报告1核心观点本土半导体薄膜沉积设备龙头,业绩持续快速增长。公司是本土半导体薄膜沉积设备龙头,产品覆盖PECVD、SACVD、ALD、HDPCVD等,成功供货中芯国际、华虹集团、长江存储等主流客户,业绩实现快速增长。1)收入端:2022年实现营收17.06亿元,2018-2022年CAGR高达122%。根据2023年业绩快报,2023年营收27.05亿元,同比+59%,延续高速增长。2)利润端:2022年归母净利润和扣非归母净利润分别达到3.69和1.78亿元,分别同比+438%和+317%,利润端正式进入高速增长阶段。根据2023年业绩快报,2023年公

3、司归母净利润和扣非归母净利润分别为6.65亿元、3.13亿元,分别同比+80%、+76%,若剔除股份支付费用影响,则利润端表现更加出色。根据公司2023年业绩预增公告,截至2023年末,公司在手订单金额超过64亿元(不含Demo),在手订单饱满。随着在手订单陆续交付、确认收入,公司业绩端表现同样有望延续高速增长。作为半导体薄膜沉积设备龙头,公司承担较多前沿产品开发。根据公司公告,2023年公司相继收到三笔大额政府补助,合计金额高达4.20亿元,可以进一步验证,为公司长期成长性打下基础。薄膜沉积设备是高价值量占比的天然大市场,公司产品竞争优势明显。荷兰出口管制影响下,中国大陆对于高端光刻机进口加

4、速,2023年累计进口金额达到72.30亿美元,同比+184%,拉货势头明显,同时进口均价大幅提升。随着更高端光刻机陆续到位,将支撑国内晶圆厂后续大规模扩产。1)薄膜沉积在晶圆制造设备中价值量占比高达22%,其中PECVD和ALD在薄膜沉积设备中占比分别达到33%和11%。若仅考虑半导体PECVD和ALD两大类设备,我们预计2025年中国大陆市场规模分别可达194和65亿元,合计超过250亿元。2)全球半导体薄膜沉积设备仍由AMAT、LAM、TEL等海外龙头主导,公司在集成电路PECVD和SACVD领域先发优势明显,ALD同样是国内领先设备企业。往后来看,友商积极布局PECVD、ALD等薄膜沉

5、积赛道,引发市场对于公司竞争格局的担忧。我们认为,半导体设备作为典型的技术密集型赛道,适合孕育大体量公司,龙头效应明显,同时先发优势至关重要。作为国内半导体薄膜沉积设备龙头,公司具备身位性领先优势,并积极进行高研发投入,不断夯实核心竞争力,巩固行业龙头地位,市场领先地位有望长期维持,将充分受益于本土晶圆厂扩产浪潮。PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓。公司在稳固PECVD市场竞争力的同时,SACVD&ALD等持续取得突破,成长空间不断打开。1)PECVD:公司在国内市占率依然较低,公司工艺覆盖面扩大&客户验证顺利推进,有望延续高速增长;2)SACVD&HDPCVD:适用

6、于沟槽填充工艺,其中SACVD在先进制程下渗透率存在提升趋势,公司产品体系不断完善,有望进入加速放量阶段。此外,公司重点布局HDPCVD,持续完善产品矩阵,已持续获得客户订单,进一步打开成长空间;3)ALD:膜厚精准可控&台阶覆盖率极高,技术优势突出,公司率先实现PE-ALD产业化,Thermal-ALD持续获得原有客户及新客户订单,并出货至不同客户端产业化验证,验证进展顺利,并不断拓展薄膜种类及工艺应用,进一步夯实市场竞争力。前瞻性布局混合键合设备,充分受益先进封装行业浪潮。AI需求持续提升背景下,先进封装有望加速渗透。从工艺端来看,传统封装键合仍以引线键合为主,先进封装背景下,为实现堆叠芯

7、片间的高密度互联,键合逐步向混合键合方向发展。公司前瞻性布局应用于晶圆级三维集成领域的混合键合设备,主要包括晶圆对晶圆键合、芯片到晶圆键合两大类设备。根据公司2023年中报披露,晶圆对晶圆键合产品Dione 300实现首台产业化应用,并获得重复订单,芯片对晶圆键合表面预处理产品Pollux已出货至客户端进行产业化验证,验证进展顺利,有望充分受益于先进封装行业浪潮。盈利预测:我们预计公司2023-2025年营业收入分别为27.05、40.40和55.45亿元,分别同比+59%、+49%和+37%;2023-2025年归母净利润分别为6.65、8.97、12.07亿元,分别同比+80%、+35%和

8、+35%;2023-2025年EPS分别为3.53、4.77和6.41元,2024年2月28日收盘价190.38元对应PS分别为13、9、6倍,对应PE分别为54、40、30倍。考虑到公司在半导体薄膜沉积领域的市场竞争力,以及拓品类能力,成长性较为突出,首次覆盖,给予“增持”评级。风险提示:晶圆厂资本开支不及预期、新品拓展不及预期、技术人员流失等。RU1W2UFVSVBVSUaQ8Q9PsQnNnPnRiNmMsReRmMoP8OoPnNwMqRmPvPnRrO2核心观点 财务摘要财务摘要2021A2021A2022A2022A2023E2023E2024E2024E2025E2025E营业收

9、入(百万元)7581,7062,7054,0405,545YoY(%)74.0%125.0%58.6%49.4%37.2%归母净利润(百万元)683696658971,207YoY(%)696.1%438.1%80.3%35.0%34.6%毛利率(%)44.0%49.3%49.8%49.7%49.5%每股收益(元)0.723.183.534.776.41ROE5.7%9.9%14.8%16.7%18.3%市盈率264.4259.8753.9139.9529.68盈利预测与估值盈利预测与估值3目录拓荆科技:本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二P

10、ECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,充分受益先进封装行业浪潮六风险提示五盈利预测与投资评级41.1 引领PECVD进口替代,产品供货半导体主流客户图:公司立足半导体薄膜沉积设备领域,产业化持续突破 拓荆科技成立于2010年,专业从事半导体薄膜沉积设备,引领进口替代浪潮。公司曾承担多项国家科技重大专项&省部级重大科研项目,曾三次荣获“中国半导体设备五强企业”,产品已适配国内最先进的28/14 nm逻辑芯片、19/17nm DRAM芯片和64/128层3D NAND FLASH晶圆制造产线,尤其在PECVD和SACVD领域,公司是本土唯一实现产业化

11、应用的供应商。在薄膜沉积设备基础上,公司还积极布局先进封装领域,成功研制应用于晶圆级三维集成领域的混合键合设备系列。51.1 引领PECVD进口替代,产品供货半导体主流客户图:公司股权构成(截止2023Q3末)公司无实控人&控股股东,大基金持股比例达到19.86%。姜谦先生与其他7名自然人股东及11个公司员工持股平台签有一致行动协议,为一致行动人。特别地,中微公司持有公司7.41%股份,其董事长尹志尧先生为公司董事之一。国家集成电路基金国投上海中微公司润扬嘉禾华夏上证科创板50成份交易型开放式指数证券投资基金沈阳创投共青城芯鑫和共青城芯鑫全共青城芯鑫龙共青城芯鑫成19.86%13.68%7.4

12、1%3.26%2.33%1.68%1.09%1.09%1.09%1.09%拓荆科技股份有限公司61.1 引领PECVD进口替代,产品供货半导体主流客户图表:公司现有主营产品涵盖PECVD、ALD、SACVD和混合键合设备四大类(注:各类设备产业化验证进度均截至2023年中报披露)产品型号产品型号产业化应用情况产业化应用情况PF-300TPF-300TPF-300T eXPF-300T eXNF-300HNF-300H在集成电路存储芯片制造领域已实现产业化应用,适用于沉积时间需求较长的薄膜工艺,如 Thick TEOS 介质材料薄膜。PF-300T UpsilonPF-300T Upsilon在

13、集成电路领域已实现产业化应用,可以与 PECVD 成套使用,为 PECVD HTN、Lok II 等薄膜沉积进行紫外线固化处理。PF-300T AstraPF-300T Astra在集成电路逻辑芯片、存储制造及先进封装领域已产业化应用,可以沉积高温、低温、高质量的 SiO2、SiN 等介质薄膜材料。NF-300H AstraNF-300H Astra主要应用于集成电路存储芯片制造领域,已产业化应用,可以沉积高温、低温、高质量的 SiO2、SiN 等介质薄膜材料。PF-300T AltairPF-300T Altair主要应用于集成电路逻辑芯片、存储芯片制造领域,正进行产业化验证,可以沉积 Al

14、2O3等金属化合物薄膜材料。TS-300 AltairTS-300 Altair主要应用于集成电路逻辑芯片、存储芯片制造领域,正进行产业化验证,可以沉积 Al2O3等金属化合物薄膜材料。PF-300T SAPF-300T SA在集成电路逻辑、存储芯片制造领域已实现产业化应用,可以沉积 SA TEOS 等介质薄膜材料。PF-300T SAFPF-300T SAF在集成电路逻辑、存储芯片制造领域已实现产业化应用,可以沉积 BPSG、SAF 等介质薄膜材料。PF-300T HesperPF-300T Hesper主要应用于集成电路逻辑芯片、存储芯片制造领域,已实现产业化应用,可以沉积 SiO2、FS

15、G、PSG 等介质薄膜材料。TS-300S HesperTS-300S Hesper主要应用于集成电路逻辑芯片、存储芯片制造领域,正在进行产业化验证,可以沉积SiO2、FSG、PSG 等介质薄膜材料。晶圆对晶圆键合晶圆对晶圆键合Dione 300Dione 300主要应用于晶圆级三维集成芯片制造领域,已实现产业化应用,可实现 12 寸晶圆对晶圆的混合键合和熔融键合。芯片对晶圆键合芯片对晶圆键合PolluxPollux主要应用于晶圆级三维集成芯片制造领域,正在进行产业化验证,主要应用于晶圆及切割后芯片的表面活化及清洗。产品类型产品类型UV CureUV CureSACVDSACVDHDPCVDH

16、DPCVD混合键合设备混合键合设备PE-ALDPE-ALDThermal-ALDThermal-ALD在集成电路逻辑芯片、存储芯片制造及先进封装等领域已实现产业化应用,可以沉积SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介质薄膜材料,以及 LoK、LoK、ACHM、ADC、HTN、a-Si 等先进介质薄膜材料,可实现 8 英寸与 12英寸 PECVD设备兼容,具有高产能,低生产成本优势。PECVDPECVDALDALD公司主营产品包括 PECVD、ALD、SACVD、HDPCVD等薄膜设备产品,以及混合键合设备产品系列。1)PECVD:已配适180-14nm

17、 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 等制造工艺需求,并积极拓展更先进制程领域。2)ALD:PE-ALD已经成功量产,兼容SiO2和SiN等介质薄膜;Thermal ALD正在产业化验证,满足Al2O3等金属化合物薄膜沉积需求。3)SACVD:可以沉积BPSG、SAF、TEOS等介质薄膜,在逻辑、存储领域均已实现产业化应用。4)混合键合设备:晶圆对晶圆键合产品Dione 300可实现混合键合和熔融键合工艺,芯片对晶圆键合设备Pollux主要用于晶圆及切割后芯片的表面活化及清洗。71.1 引领PECVD进口替代,产品供货半导体主流客户图:2022年公司PECVD

18、收入占比达到91.65%PECVD为公司主要收入来源,产品以12英寸的PF-300T为主。1)划分产品来看,PECVD为公司主要收入来源,2018-2022年收入占比分别高达73.19%、98.60%、96.01%、89.11%和91.65%。2)在PECVD业务中,又以12英寸的PF-300T系列为主,2018-2021Q1-Q3公司对PF-300T系列收入占PECVD业务总收入的比重均超过50%。81.03%60.04%76.79%64.10%0%10%20%30%40%50%60%70%80%90%100%20021Q1-Q3PF-200TPF-300T图:公司PE

19、CVD销售以12英寸的PF-300T系列为主73.19%98.60%96.01%89.11%91.65%0%10%20%30%40%50%60%70%80%90%100%200212022其他业务SACVDALDPECVD8公司客户集中度依旧较高,中芯国际为第一大客户。具体来看:1)PECVD:客户群体覆盖中芯国际、华虹集团、长江存储、重庆万国等;2)ALD:客户覆盖ICRD等;3)SACVD:客户覆盖北京燕东微电子等。从收入构成来看,2018-2021Q1-Q3公司前五大客户占比分别为100%、84.02%、83.78%和92.44%,客户集中度较高,其中中芯国际是公司

20、第一大客户,2020/2021Q1-3收入占比分别高达45.73%、28.80%,批量供货中芯国际进一步体现公司产品在国内市场的竞争力。1.1 引领PECVD进口替代,产品供货半导体主流客户图表:公司已供货本土主流半导体企业,中芯国际为第一大客户 序号序号名称名称主营业务收入主营业务收入(亿元亿元)主营占比主营占比序号序号名称名称主营业务收入主营业务收入(亿元亿元)主营占比主营占比1重庆万国0.2740.64%1中芯国际0.6727.09%2长江存储0.1522.55%2北京晶瑞0.5823.24%3华虹集团0.1522.02%3北京燕东微电子0.4116.55%4中芯国际0.114.80%4

21、华虹集团0.2911.60%-5长江存储0.145.54%100.00%84.02%序号序号名称名称主营业务收入主营业务收入(亿元亿元)主营占比主营占比序号序号名称名称主营业务收入主营业务收入(亿元亿元)主营占比主营占比1中芯国际1.9645.73%1中芯国际1.0528.80%2长江存储0.8219.17%2北京屹唐1.0228.11%3华虹集团0.4610.80%3长江存储0.6116.79%4合肥晶合0.194.42%4华虹集团0.369.88%5广州粤芯0.163.66%5睿力集成电路0.328.85%83.78%92.44%合计合计合计合计2018年度年度2019年度年度2020年度

22、年度2021年年Q1-Q3合计合计合计合计9公司拥有一支高水平研发团队,核心技术人员具备全球一线半导体企业工作经验。公司核心技术人员姜谦、吕光泉、田晓明、叶五毛先生等均拥有多年海外半导体研发经验,曾任职于英特尔、美国诺发、泛林半导体等全球一线半导体企业,产业经验丰富。1.2 拥有一支高水平研发团队,持续加大员工激励力度图表:公司核心技术人员大多拥有多年海外一线半导体产业经验 序号序号姓名姓名职务职务个人履历个人履历研发贡献研发贡献1姜谦 核心技术人员美国布兰迪斯大学博士。1982 年 1 月至 2005 年 10 月,先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究员、研发副总裁等职;2

23、006 年4 月至 2010 年 3 月,任欣欣科技(沈阳)有限公司执行董事成功领导研发团队完成“90-65nm 等离子体增强化学气相沉积设备研发与应用”研发,参与“1x nm 3D NAND PECVD研发及产业化”国家重大科技专项及多项产品研发2吕光泉董事长美国加州大学圣地亚哥分校博士。1994 年 8 月至 2014 年 8 月,先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职成功领导研发团队完成“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项,领导团队研发“国家科技重大专项课题 A(ALD

24、 相关)”、“国家集成电路装备项目 A(介质薄膜先进工艺相关)”等国家重大科技项目/课题,成功领导完成 ALD、SACVD 设备研发并产业化应用3田晓明 核心技术人员美国东北大学电子工程学硕士。1982 年 2 月至 2018 年 2 月,先后任职或就读于江西景光电子有限公司、美国东北大学、美国 Codi Semiconductor,Inc.、泛林半导体、尼康精机(上海)有限公司,历任设计工程师、资深副总裁等职参与领导“国家科技重大专项课题 A(ALD 相关)”、“国家科技重大专项课题 B(先进工艺 PECVD 相关)”、“国家集成电路装备项目 A(介质薄膜先进工艺相关)”等国家重大科技项目/

25、课题研发,参与领导 SACVD 并产业化应用4叶五毛核心技术人员加州大学伯克利分校博士。1990 年9 月至 2013 年 9 月,先后任职于Nashua Computer Products、Western Digital,Santa Clara,CA、美国诺发、NegevTech,Inc.、Hitachi High-Technologies America、HoneywellInternational,先后任工艺工程师、产品经理等职负责公司 HTM PECVD 工艺技术开发及优化改进,完成 12英寸 ACHM工艺 PECVD 设备研发及产业化应用5宁建平副总经理、产品部总监大连理工大学博士在

26、读。2010 年 7 月开始任职于公司及子公司拓荆键科,历任产品部部长、研发部总监等职,现任公司产品部总监作为研发骨干参与多项国家重大科技专项的研发,负责“国家科技重大专项课题 B(先进工艺 PECVD 相关)”及先进制程 PECVD 设备的研发及产业化10在国际技术专家带领下,公司已形成一支高质量的研发团队。截至2022年末,公司技术研发&支持人员分别为335和290人,合计占比高达75%。从学历构成上来看,在公司研发人员中,2023H1末硕士&博士学历员工占比高达61%,高端人才优势显著。此外,为了建立长效激励机制,吸引和留住优秀人才,公司设立了11个员工持股平台,利于人才梯队的稳健发展。

27、图:2022年公司技术研发&支持人员占比高达75%图:2023H1公司研发人员中硕士&博士学历占比高达61%博士5%硕士56%大学本科35%大专及以下4%1.2 拥有一支高水平研发团队,持续加大员工激励力度技术研发人员40%技术支持人员35%行政管理人员7%财务人员2%生产人员11%采购人员4%销售人员1%11上市以来,公司相继推出两期股权激励计划,持续加大员工激励力度。2022年10月和2023年10月公司相继发布两期限制性股票激励计划草案,授予对象分别不超过517和701人,分别约占2022H1末和2023H1末员工总人数的76%、76%,激励覆盖度可见一斑。中国大陆半导体产业的高速发展,

28、技术人才是重要竞争力,本次股权激励可以更好地激励人才,有效地将公司利益和激励对象紧密捆绑,利于公司中长期发展。根据2023年股权激励计划,2024-2026年公司营业收入增长率目标值分别为95%、160%和210%,对应2024-2026年营收目标值分别为33.26、44.34和52.87亿元,2022-2026年CAGR约33%。考虑到公司在手订单情况,我们判断实际收入端表现更加出色,在半导体设备板块中表现十分亮眼。图表:公司上市后相继发布两期限制性股票激励计划 1.2 拥有一支高水平研发团队,持续加大员工激励力度2022年股权激励2022年股权激励2023年股权激励2023年股权激励授予数

29、量授予数量本激励计划拟向激励对象授予 280 万股限制性股票,约占本激励计划草案公告时公司股本总额的 2.21%本激励计划拟向激励对象授予不超过 375 万股限制性股票,约占本激励计划草案公告时公司股本总额的 2.00%授予对象授予对象高管及核心技术人员6人(46.5万股)、其他员工不超过511人(233.5万股),合计不超过517人。若以2022H1末公司员工人数为基准,本次股权激励覆盖员工比例为达到76%本次股权激励覆盖员工比例为达到76%高管及核心技术人员7人(26.5万股)、其他员工不超过694人(273.5万股),合计不超过701人。若以2023H1末公司员工总数为基准,本次股权激励

30、员工覆盖面高达76%。本次股权激励员工覆盖面高达76%。行权条件行权条件以公司2021 年营业收入值及净利润值为业绩基数进行业绩考核并行权:1)收入端:1)收入端:2022-2025年公司营收增长率目标值(Am)为100%/200%/300%/400%,对应2022-2025年营收对应2022-2025年营收目标分别为15.16、22.74、30.32、37.90亿元目标分别为15.16、22.74、30.32、37.90亿元;触发值(An)为80%/160%/240%/320%。2)利润端:2)利润端:2022-2025年公司净利润(剔除股份支付影响)增长率目标值(Am)为270%/490%

31、/700%/900%,触发值(An)为258%/466%/657%/848%。以公司 2022 年营业收入和 2022 年净利润为业绩基数进行业绩考核并行权:1)收入端:1)收入端:2024-2026年营业收入增长率目标值(Am)为95%/160%/210%,对应2024-2026年营收目标对应2024-2026年营收目标分别为33.26、44.34和52.87亿元分别为33.26、44.34和52.87亿元;触发值(An)为85%/145%/190%。2)利润端:2)利润端:2024-2026年净利润(剔除股份支付影响)增长率目标值(Am)为106%/159%/211%,触发值(An)分别为

32、95%/143%/190%。摊销费用摊销费用若2022年12月初授予,预计总摊销费用5.78亿元,其中2022-2026年分别为0.25、2.84、1.52、0.83和0.35亿元。若2023年12月初授予,预计总摊销费用3.89亿元,其中2023-2027年分别为0.15、1.79、1.24、0.57和0.15亿元。12从研发投入来看,公司持续性高研发投入,研发费用率高于本土半导体设备同行。1)从增速来看:2019年公司研发费用约为7432万元,2022年快速上升至3.78亿元,期间CAGR达到72%。2)从费用率来看:2022年公司研发费用率为22.21%,相较本土半导体前道设备企业同行处

33、于较高水平,高强度研发投入有助于公司技术持续突破,保持一定技术领先性。(注:2022年北方华创研发投入为35.66亿元,占营业收入比重为24.28%,其中计入研发费用15.88亿元,资本化19.78亿元;2022年中微公司研发投入合计9.29亿元,占营业收入比重为19.59%,其中计入研发费用7.75亿元,资本化1.54亿元)图:2019-2022年公司研发费用CAGR达到72%图:公司研发费用率在半导体前道设备企业中处于领先水平-50%-20%10%40%70%100%130%160%0500300350400200222023Q1-Q3研发费用(

34、百万元)同比(%)0%5%10%15%20%25%30%35%40%拓荆科技北方华创中微公司芯源微盛美上海华海清科200222023Q1-Q31.2 拥有一支高水平研发团队,持续加大员工激励力度13持续高研发投入力度下,公司已经形成八大薄膜沉积设备核心技术,包括先进薄膜工艺设备设计技术、反应模块架构布局技术、半导体制造系统高产能平台技术等,相关技术水平均达到国际先进水准。特别地,公司核心技术具备一定互通性,大部分已在PECVD、ALD和SACVD上实现通用,为公司在薄膜沉积设备领域的平台化发展奠定坚实基础。图表:公司薄膜沉积设备八大核心技术均达到国际先进水准 PECVDP

35、ECVDALDALDSACVDSACVD已授权发明专利1项申请中发明专利2项已授权发明专利5项申请中发明专利2项已授权发明专利11项申请中发明专利1项已授权发明专利2项申请中发明专利5项已授权发明专利19项申请中发明专利8项已授权发明专利2项申请中发明专利7项7 7气体高速转换系统设计技术气体高速转换系统设计技术已授权发明专利3项国际先进已量产已量产-已授权发明专利 10 项申请中发明专利 7 项已量产已量产 已量产已量产已量产 已量产已量产已量产 已量产已量产已量产-已量产已量产 已量产已量产已量产 已量产国际先进核心技术名称核心技术名称专利及其他保护措施专利及其他保护措施技术水平技术水平应

36、用和贡献情况应用和贡献情况半导体沉积设备气体输运控制系统半导体沉积设备气体输运控制系统先进薄膜工艺设备设计技术先进薄膜工艺设备设计技术反应模块架构布局技术反应模块架构布局技术半导体制造系统高产能平台技术半导体制造系统高产能平台技术等离子体稳定控制技术等离子体稳定控制技术反应腔腔内关键件设计技术反应腔腔内关键件设计技术国际先进国际先进国际先进国际先进国际先进国际先进已量产已量产 已量产反应腔温度控制技术反应腔温度控制技术5 56 68 8序号序号1 12 23 34 41.2 拥有一支高水平研发团队,持续加大员工激励力度141)收入端:受益PECVD快速放量,公司收入端实现快速增长。2018年公

37、司营收仅7064万元,2022年达到17.06亿元,期间CAGR高达122%。2022年公司PECVD收入约15.63亿元,2018-2022年CAGR高达134%,高于同期营收CAGR,是收入端快速增长的主要驱动力。根据2023年业绩快报,2023年公司实现营收27.05亿元,同比+59%,延续高速趋势。2)利润端:规模效应持续显现,净利润进入高速增长阶段。与收入规模持续高增形成对比的是,高研发投入下,2021年以前公司在利润端依旧呈现亏损状态,2022年公司归母净利润和扣非归母净利润分别达到3.69和1.78亿元,分别同比+438%和+317%,利润端正式进入高速增长阶段。根据2023年业

38、绩快报,2023年公司归母净利润和扣非归母净利润分别为6.65亿元、3.13亿元,分别同比+80%、+76%。若剔除股份支付费用影响(2023Q1-Q3股份支付费用达到1.71亿元),则利润端表现更加出色。图:2018-2022年公司营业收入CAGR为122%-50%-20%10%40%70%100%130%160%190%220%250%280%020040060080008200222023Q1-Q3营业收入(百万元)同比(%)-103-19-1168369271-3004002018201920

39、20202120222023Q1-Q3归母净利润(百万元)图:2022年公司归母净利润3.69亿元,同比+438%1.3 收入规模快速扩张,盈利水平处于快速上升通道15反映到盈利水平上,2022年公司销售净利率和扣非销售净利率分别达到21.35%和10.44%,分别同比+12.52pct和+21.26pct,盈利水平大幅提升。1)毛利端:处于快速上升通道,在前道设备企业中处于领先水平。2020-2023Q1-Q3销售毛利率分别为34.06%、44.01%、49.27%和50.35%,快速提升,我们判断这一方面系规模化降本效益,另一方面系重复订单议价能力提升。2)费用端:规模效应驱动费用率快速下

40、降。高研发投入下,2018年公司期间费用率高达237.21%,2022年快速下降至37.16%。2023Q1-Q3公司期间费用率为38.36%,同比+1.29pct,略有提升,主要系存在大额股份支付费用。往后来看,受益于收入规模快速放量,公司期间费用率仍有较大下降空间。1.3 收入规模快速扩张,盈利水平处于快速上升通道图:2018-2022公司销售毛利率快速提升 图:2023Q1-Q3公司期间费用率约为38.36%,同比+1.29pct31.67%31.85%34.06%44.01%49.27%50.35%0%10%20%30%40%50%60%70%2002120222

41、023Q1-Q3拓荆科技北方华创中微公司长川科技盛美上海华海清科237.21%55.49%47.45%54.16%37.16%38.36%-50%0%50%100%150%200%250%2002120222023Q1-Q3销售费用率管理费用率研发费用率财务费用率期间费用率-24.86%-13.11%-10.82%10.44%10.32%-30%-20%-10%0%10%20%30%200222023Q1-Q3销售净利率(%)扣非销售净利率(%)图:公司销售净利率快速提升16截至2022年末,公司在手订单46.02亿元(不含Demo),2022年新签

42、订单43.62亿元(不含Demo),同比+95%。根据公司2023年业绩预增公告,截至2023年末,公司在手订单金额超过64亿元(不含Demo),在手订单饱满。随着在手订单陆续交付、确认收入,公司业绩端表现同样有望延续高速增长。作为半导体薄膜沉积设备龙头,公司承担较多前沿产品开发。根据公司公告,2023年公司相继收到三笔大额政府补助,合计金额高达4.20亿元,可以进一步验证,为公司长期成长性打下基础。图:截至2023Q3末,公司存货38.70亿元,同比+85%图:截至2023Q3末,公司合同负债14.97亿元,同比+62%47561344881,3971,49708201

43、92020202120222023Q1-Q3合同负债/预收款项(百万元)合同负债/预收款项(百万元)3223505129532,2973,87000400050002002120222023Q1-Q3存货(百万元)日期日期金额金额补助类型补助类型2023年12月6日4,664.00万元与收益相关的政府补助2023年10月25日14,094.00万元与收益相关的政府补助13,770.00万元,与资产相关的政府补助324.00万元2023年7月31日23,218.00万元与收益相关的政府补助16,218.00万元,与资产相关的政府补助7,000.00万元

44、。2022年6月21日至2022年7月19日1,000.00万元与收益相关的政府补助2022年5月17日至2022年6月20日826.68万元与收益相关的政府补助2021年10月1日至2022年5月16日14,082.73万元与收益相关的政府补助9,853.23万元,与资产相关的政府补助4,229.50万元图:2023年公司相继收到三笔大额政府补助1.3 收入规模快速扩张,盈利水平处于快速上升通道17目录拓荆科技:本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,充分受

45、益先进封装行业浪潮六风险提示五盈利预测与投资评级182.1.2024年有望迎来招标大年,景气复苏同样利好设备需求相较IC设计、封测环节,晶圆制造是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂大规模扩产。受海外制裁等影响,2023年国内一线Fab厂暂未看到大规模招标启动,往后来看,看好2024年招标大年出现。1)逻辑端:中芯国际仍为扩产主力,根据中芯国际2023年第四季度业绩快报公告,2023年中芯国际资本开支达到75亿美元,同比+18%,并预计2024年资本开支同比基本持平。2023年我们推测中芯国际大部分的资本开支用于光刻机采购(ASML高端DUV出口许可23年底到期)。展望2024年

46、,我们预计公司光刻机的采购相比2023年有望明显减弱,高昂的资本开支主要用于光刻机之外的其他前道设备开支,光刻机之外的其他设备招标有望实现大幅提升。2)存储端:2023年一线存储大厂扩产力度受到明显影响,长存、长鑫陆续增资背景下,叠加设备与工艺技术端进步,我们预计2024年存储端扩产需求有望快速起量。图:2023年中芯国际资本开支同比+18%-50%-20%10%40%70%100%130%160%190%220%007080200224E资本性支出(亿美元)同比(%)19图:2023年5月份以来从荷兰进口光刻机均价提升明显图:

47、2023年5月以来我国从荷兰进口光刻机金额快速增长 特别地,荷兰出口管制影响下,中国大陆对于高端光刻机进口加速,为其他前道设备招标奠定基础。1)从进口金额看,10、11月、12月分别达到6.79亿美元、7.85亿美元和11.28亿美元,同比+103%、+545%,+752%,提速明显。2023年累计进口金额达到72.30亿美元,同比+184%,拉货势头明显。2)从设备数量和单价来看,2023年5月份以来我国对荷兰光刻机进口量价齐升,2023年全年对荷兰光刻机进口225台,设备均价3213万美元,分别同比+53%和+85%。我国对荷兰光刻机进口均价大幅增长,我们推测主要系部分高端浸没式DUV光刻

48、机占比提升明显。往后来看,我们认为短期看随着更高端光刻机加速进口,光刻机目前不是国内晶圆厂较为先进制程扩产瓶颈。随着更高端光刻机陆续到位,将支撑国内晶圆厂后续大规模扩产,国产设备供应商有望深度受益。004000500005540数量台数从荷兰进口光刻机单价(万美元)-50%150%350%550%750%950%1150%02004006008000从荷兰进口光刻机金额(百万美元)同比增长(%)2.1.2024年有望迎来招标大年,景气复苏同样利好设备需求20 全球半导体行业景气拐点已经出现,看好2024年进入新一轮上行期。1)全

49、球范围内来看,2023年2月全球半导体单月销售额触底,为3970亿美元,同比-21%,此后连续9个月出现环比改善,拐点信号明显,全球半导体整体复苏呈现加速态势,后续伴随下游需求市场回暖以及去库存结束,全球半导体行业有望进入新一轮上升周期。2)对于中国大陆市场,半导体行业同样出现复苏迹象,2023年3月以来,同样连续9个月环比为正,考虑到中国市场下游新能源等领域发展较快,看好中国半导体市场加速复苏弹性。图:2023年3月份以来全球半导体销售额同比表现持续改善图:2023年3月份以来中国大陆半导体销售额同比表现持续改善2.1.2024年有望迎来招标大年,景气复苏同样利好设备需求-50%-20%10

50、%40%002021-01 2021-05 2021-09 2022-01 2022-05 2022-09 2023-01 2023-05 2023-09全球半导体销售额(十亿美元)全球同比(%)-50%-20%10%40%0246802021-01 2021-05 2021-09 2022-01 2022-05 2022-09 2023-01 2023-05 2023-09中国大陆半导体销售额(十亿美元)中国大陆同比(%)21图表:全球半导体和半导体设备销售额同比增速高度联动 历史数据表明,全球半导体设备销售额与半导体销售额同比增速呈现高度联动效应

51、,同时在行业上行周期时,半导体设备可以表现出更高的同比增速,具备更强增长弹性。展望2024年,全球范围内来看,在终端消费持续复苏的背景下,2024年半导体设备需求有望反弹,进入下一轮上行周期。对于中国大陆市场,叠加自主可控需求,我们看好2024年半导体设备需求加速放量。-20%-10%0%10%20%30%40%2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半导体销售额同比(%)全球半导体设备销售额同比(%)2.1.2024年有望迎来招标大年,景气复苏同样利好设备需求22薄膜沉积为晶圆制造三大核心设备之一,价值量占比高

52、达22%。薄膜沉积工艺难度大,设备单体价值量高,同时在晶圆制造循环过程中工序较多,均奠定了薄膜沉积设备高价值量占比的基础。根据SEMI数据,在半导体设备中,晶圆制造设备价值量占比达到88%;在晶圆制造设备中,薄膜沉积设备价值量占比高达22%,与刻蚀设备体量相当。图:晶圆制造设备价值量约占半导体设备88%晶圆制造88%封装及组装5%测试7%刻蚀22%薄膜沉积22%光刻17%其他39%图:薄膜沉积设备价值量约占晶圆制造设备22%2.2 薄膜沉积是前道三大核心设备之一,2025年国内市场规模588亿元23薄膜沉积设备种类繁多,按照工艺原理、薄膜沉积效果等不同,可大致分为CVD、PVD、ALD三大类:

53、1)CVD:根据腔室压力、外部能量等不同,可大致分为APCVD、LPCVD、SACVD、PECVD、MOCVD等类别。2)PVD:一般适用于各类金属、非金属、化合物膜层的平面沉积,可大致划分为电子束蒸发PVD和磁控溅射PVD两大类。3)ALD:可对复杂形貌的基底表面实现全覆盖成膜,台阶覆盖率较高,适用于各类金属及金属化合物、氧化物、氮化物、硫化物等薄膜沉积。图:半导体薄膜沉积设备种类繁多 2.2 薄膜沉积是前道三大核心设备之一,2025年国内市场规模588亿元24随着一线FAB厂扩产启动,我们预计中国大陆半导体薄膜沉积设备市场将快速打开,2025年将达588亿元。细分产品来看,2021年PEC

54、VD为第一大薄膜沉积设备,占比达到33%,PVD和ALD占比分别为19%和11%,管式CVD和非管式CVD合计占比达到23%。若仅考虑半导体PECVD和ALD两大类设备,我们预计2025年中国大陆市场规模分别可达194和65亿元,合计超过250亿元。若再考虑到SACVD、HDPCVD等产品,公司卡位赛道对应的市场需求体量更为可观。2.2 薄膜沉积是前道三大核心设备之一,2025年国内市场规模588亿元图表:2025年中国大陆半导体薄膜沉积设备市场规模有望达到588亿元 测算PECVD33%ALD11%溅射PVD19%电镀ECD4%管式CVD12%非管式LPCVD11%MOCVD4%其他薄膜设备

55、6%图:2021年PECVD在薄膜沉积设备中价值量占比为33%20020202120222023E2024E2025E2025E566645598700928330334243415%20%23%26%29%26%30%33%35%4408837576084344424557673788848464956742455516192447

56、74752835中国大陆薄膜沉积设备市场规模(亿元)=*88%*22%*7PECVD=*33%ALD=*11%PVD=*19%管式CVD=*12%中国大陆半导体设备市场规模(亿美元)中国大陆半导体设备销售额全球占比(%)全球半导体设备市场规模(亿美元)非管式LPCVD=*11%MOCVD=*4%电镀ECD=*4%其他(包含SACVD)=*6%其中25全球范围内来看,半导体薄膜沉积设备仍由海外企业主导,市场集中度较高。1)CVD:2019年AMAT、LAM、TEL全球市场份额分别为30%、21%和19%,CR3市占率合计高达70%;2)ALD:2019

57、年ASM和TEL全球市场份额分别为31%和29%,CR2合计60%。特别地,作为本土唯一实现集成电路PECVD、SACVD产业化的供应商,公司已在PECVD、SACVD细分领域形成较强市场竞争力。根据公司招股说明书数据,若以长江存储、华虹无锡、上海华力、上海积塔在中国国际招标网上公布的2019-2020年各类薄膜沉积设备采购项目的评标&中标结果为例,公司在国内PECVD和SACVD领域的市场份额分别达到17%和25%。图:2019年全球CVD设备CR3市场份额高达70%图:2019年全球ALD市场由ASM和TEL主导ASM31%TEL29%其他40%应用材料30%LAM21%TEL19%其他3

58、0%2.3 公司产品稀缺性显著,看好国产替代加速图:2019-2020年公司在本土PECVD市占率约17%图:2019-2020年公司在本土SACVD市占率约25%拓荆科技16.56%LAM46.63%AMAT34.36%TEL0.61%其他1.84%拓荆科技25%AMAT75%26往后来看,友商积极布局PECVD、ALD等薄膜沉积赛道,引发市场对于公司竞争格局的担忧。我们认为,半导体设备作为典型的技术密集型赛道,适合孕育大体量公司,龙头效应明显,同时先发优势至关重要。横向对比海外半导体设备龙头,AMAT、ASML、LAM、TEL收入体量达到千亿元级别,侧面反映出行业较高的集中度和成长空间。此

59、外,海外半导体设备龙头发展至今,仍在持续高强度进行研发投入,进一步反映出行业的高技术门槛。作为国内半导体薄膜沉积设备龙头,公司PECVD、SACVD、ALD已形成成熟产品系列,具备身位性领先优势,并积极进行高研发投入,不断夯实核心竞争力,巩固行业龙头地位,市场领先地位有望长期维持。图:公司收入体量明显小于海外半导体设备龙头(单位:亿元)图:海外半导体设备龙头仍在持续高强度研发投入(单位:亿元)2.3 公司产品稀缺性显著,看好国产替代加速05000200202002120220%2%4%

60、6%8%10%12%14%16%18%200212022AMATASMLLAMTELKLA图:海外半导体设备龙头研发投入/营业收入比例多在10%+27目录拓荆科技:本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,受益先进封装行业浪潮六风险提示五盈利预测与投资评级28PECVD在集成电路中应用广泛,是介质薄膜沉积的主要工艺方式。PECVD广泛用于硬掩模、反射层、阻挡层、介质隔离、钝化层等介质薄膜生长,具备一定不可替代性。这一方面是由于PECVD薄膜

61、沉积效果易调谐,薄膜密度、化学组成、机械应力等均可通过等离子体参数优化。此外,由于等离子体作用下工艺温度较低,PECVD对于含有金属或其他对温度敏感结构衬底的薄膜生长具备一定必要性。例如,在后道金属互连应用中,薄膜沉积具备严格的热预算限制,通常需要等离子体辅助完成。3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大提升空间图表:PECVD设备在集成电路工艺中广泛应用 序号序号工艺应用工艺应用PECVD生长材料PECVD生长材料1浅槽隔离填充(STI Filling)高密度等离子体二氧化硅(HDP SiO2)、流体沉积二氧化硅(FCVD SiO2)2光刻硬掩膜(Litho Hard

62、Mask)等离子体非晶碳(PECVD-C,APF)、等离子体氮化硅(PECVD Si3N4)、等离子体氮化钛(PECVD TiN)3光刻反射层(Anti-reflective Coating)等离子体氮氧化硅(PECVD SiON)4侧壁隔离(Spacer)等离子体氮化硅(PECVD Si3N4)5前金属隔离填充阻挡层(PMD Liner)等离子体氮化硅(PECVD Si3N4)6前金属隔离填充(PMD Filling)高密度等离子体掺杂二氧化硅(PECVD PSG)7金属连接刻蚀停止层(Contact Etch Stop Layer)等离子体氮化硅(PECVD Si3N4)8晶圆背面污染保护

63、层(Backside Contamination Protection Layer)等离子体氮化硅(PECVD Si3N4)9金属连线介质隔离(IMD)等离子体二氧化硅(PECVD SiO2)、等离子体低介质材料(PECVD low-k)10镶嵌式刻蚀及平坦化停止层(Damasceme Etch&CMP Stop Layer)等离子体氮化硅(PECVD Si3N4)、等离子体碳化硅(PECVD SiC)11器件钝化层(Passivation Layer)等离子体氮化硅(PECVD Si3N4)29公司PECVD 设备推出较早,产品线较为丰富。若以收入/销售额为统计口径,我们估算2022年公司P

64、ECVD在国内市占率约12%,仍具备较大的国产化提升空间。基于工艺覆盖面持续扩大&客户拓展推进两个维度,我们看好公司PECVD业务持续高速增长。3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大提升空间图:2018-2022年公司PECVD国内市场份额快速提升 测算1%4%5%5%12%0%2%4%6%8%10%12%14%0204060800212022PECVD收入(百万元)PECVD市场规模(百万元)公司PECVD市场份额(%)30公司PECVD设备以介质薄膜沉积为主,已全面覆盖逻辑、存储(3D NAND+DRAM),工艺先进性同样

65、在快速提升。具体来看:1)按工艺类别划分:公司针对下游需求研发并生成出多种PECVD设备,已全面覆盖逻辑、DRAM 存储、FLASH 闪存各技术节点的多种通用介质薄膜沉积工序,并已研发Lok、Lok、ACHM、ADC等先进介质材料工艺,工艺覆盖面行业领先。图:公司PECVD广泛用于逻辑芯片制造中 图:公司PECVD广泛用于3D NAND存储芯片制造图:公司PECVD广泛用于DRAM存储芯片制造中3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大成长空间312)按技术节点划分:公司在逻辑芯片领域已基本实现28nm及以上制程全覆盖,多种14nm及10nm以下制程设备正在积极配合客户进行

66、产业化验证。在存储芯片领域,公司PECVD已在64L 3D NAND实现产业化应用,并积极布局128L 3D NAND和DRAM领域。图:公司PECVD设备的工艺覆盖面正在快速扩大(注:为“产业化应用”,*为“产业化验证中”,/为“暂无应用”,产品进展截至公司招股说明书披露)130nm130nm90nm90nm65nm65nm55nm55nm40nm40nm28nm28nm14nm14nm10nm以下10nm以下64L64L128L128L19nm19nm17nm17nm2.5D2.5D3D3D前/后段介质层*后段钝化层*后段刻蚀硬掩模工艺*后段刻蚀阻挡层工艺*/*后段介质层*/*后段钝化层*

67、/*后段封装领域介质层及钝化层SiON前后段介电抗反射涂层*/*前/后段介质层/后段钝化层/前/后段介质层*/*后段钝化层*/*后段刻蚀硬掩模工艺*/*后段封装领域介质层及钝化层SiOC前后段介电抗反射涂层/FSG 后段低介电常数介质层/HSN前段应力记忆层/PSG 后段钝化层/Lok I 后段层间介质层/LokII后段层间介质层/*/前段刻蚀硬掩模工艺/*/前段刻蚀硬掩模工艺*后段刻蚀硬掩模工艺*ADC I后段刻蚀阻挡层/扩散阻挡层/*/RDL DRAM 后段介质层*2.5D interposer Cap layer 后段介质层*/NO stackFlash memory 前段介质层/*应用

68、领域应用领域工艺类型工艺类型不适用不适用不适用不适用不适用PECVD不适用不适用不适用不适用TEOSSiO2SiNBPSGACHM不适用不适用不适用不适用不适用Thick TEOS不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用工艺节点工艺节点逻辑芯片逻辑芯片存储芯片存储芯片先进封装先进封装不适用不适用不适用不适用不适用3D NAND FLASH3D NAND FLASHDRAMDRAM不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用不适用

69、不适用不适用不适用不适用不适用3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大成长空间32就具体产业化进展来看,2022年公司PECVD产业化快速突破:1)薄膜工艺拓展:多种不同工艺指标的先进薄膜材料(包括LoK、ACHM、ADC、HTN 等)和设备均通过客户验证,进入量产产线。2)应用领域拓展:针对先进封装领域晶圆的特殊性,采用独特的加热盘、传片平台等设计,开发反应温度在 80-200范围内的低温薄膜沉积设备,可以沉积低温的 SiN、TEOS 等介质薄膜材料,并在先进封装领域实现量产应用。3)工艺指标提升:公司推出 PECVD(NF-300H)型号设备,已实现首台产业化应用,并

70、取得客户复购订单,可以沉积 Thick TEOS 等介质材料薄膜,NF-300H平台具备高产能及良好工艺性能指标。此外,基于在PECVD领域积累的产业经验,公司还在积极拓展其他设备类别:1)UV Cure:基于现有的PF-300T平台,公司开发出与PECVD配套使用的 UV Cure设备,为HTN、Lok等薄膜沉积进行紫外线固化处理,2022年UV Cure(HTN 工艺)设备已通过不同客户产线验证,实现销售收入,并实现产业化应用。2)HDPCVD:HDPCVD 设备可以同时进行薄膜沉积和溅射,沉积薄膜致密度更高,杂质含量更低。公司研制的 HDPCVD(PF-300T Hesper)设备已出货

71、至客户端进行产业化验证,并已实现销售。公司HDPCVD(PF-300T Hesper、TS300S Hesper)设备已取得不同客户的订单,可以沉积 SiO2、FSG、PSG 等介质材料薄膜。3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大成长空间33在持续完善的产品体系基础上,公司在客户端也在不断突破。薄膜沉积设备复杂度高,不同客户工艺要求差异性较大,验证周期普遍较长。随着客户端Demo机台陆续确认收入,公司客户集中度已经出现明显下降,2022年前五大客户收入占比下降至67.80%。往后来看,随着新客户、新工艺端Demo机台验证持续推进,重复订单有望快速放量,公司PECVD有望

72、延续高速增长。3.1 PECVD:工艺覆盖面持续扩大&客户验证推进,仍有较大成长空间 100%84.02%83.78%92.44%67.80%0%20%40%60%80%100%120%前五大客户收入占比(%)图:2022年公司前五大客户收入占比快速下降34SACVD沟槽填充性能突出,先进制程下渗透率存在提升趋势。SACVD工作环境为次常压,气相化学反应分子自由程减小,分子间碰撞几率增加,主要应用于深宽比小于 7:1 的沟槽填充工艺。常规PECVD多适用于平面衬底薄膜沉积,SACVD主要适用于STI、ILD等填孔工艺,二者不存在严格竞争关系。沟槽填充主要包括HDP-CVD、SACVD等工艺,其

73、中HDP-CVD适用130-45 nm技术节点,SACVD为45-14 nm主流工艺。先进制程技术迭代背景下,沟槽深宽比增大,SACVD在沟槽填充领域的渗透率有望快速提升。3.2 SACVD&HDPCVD:沟槽填充核心设备,产业化快速推进图:SACVD薄膜沉积环境为压强较大的次常压 图:SACVD适用于ILD和STI等沟槽填充工艺35公司作为本土唯一SACVD产业化应用的供应商,产品体系不断完善。根据公司招股说明书,公司SACVD已实现12英寸40/28nm和8英寸90nm以上逻辑芯片产业化应用。在此基础上,公司积极拓展130nm以上逻辑芯片工艺需求,以及19/17nm DRAM刻蚀调节层等应

74、用。截至2023年中报披露,公司用于实现 SA TEOS、BPSG、SAF 薄膜工艺沉积的 SACVD 设备均通过客户验证。展望未来,受益新品产业化顺利推进,公司SACVD设备有望加速放量。公司自2020年以来SACVD产量快速增加,根据2022年报披露,2022年公司SACVD产量达到10台,销售量5台,2022年底库存量达到13台,我们判断公司已有较多机台逐步进入量产订单阶段。受益于在手订单陆续交付&收入确认,叠加量产订单持续放量,SACVD设备有望成为公司后续重要业绩增长点。图:公司SACVD可实现SA TEOS、BPSG、SAF等薄膜沉积工艺 产品系列产品系列主要产品型号主要产品型号主

75、要薄膜工艺主要薄膜工艺PF-300T SASA TEOS 等介质薄膜材料PF-300T SAFBPSG、SAF 等介质薄膜材料SACVD图:截至2022年末,公司SACVD设备库存量达到13台2000222生产量(台)013610销售量(台)00115库存量(台)81313收入(百万元)008.6741.1689.48收入占比(%)0%0%2%5%5%毛利率(%)-43.61%62.99%46.82%3.2 SACVD&HDPCVD:沟槽填充核心设备,产业化快速推进36公司在沟槽填充领域还重点布局HDPCVD,持续完善产品矩阵。

76、HDPCVD 设备主要应用于深宽比小于 5:1 的沟槽填充工艺,和SACVD工艺互补,可同时进行薄膜沉积和溅射,沉积薄膜的致密度更高、杂质含量更低。根据公司2023年中报披露,公司HDPCVD 设备已实现首台产业化应用,并持续获得客户订单,出货至不同应用领域的不同客户端进行产业化验证,可以沉积SiO2、FSG、PSG 等介质材料薄膜。随着HDPCVD在客户端验证顺利推进,将进一步夯实公司在沟槽填充领域的市场竞争力。图:公司HDPCVD设备已经实现产业化应用 产品型号产品型号产品图片产品图片产品应用情况产品应用情况PF-300T Hesper主要应用于集成电路逻辑芯片、存储芯片制造领域,已实现产

77、业化应用,可以沉积 SiO2、FSG、PSG 等介质薄膜材料。TS-300S Hesper主要应用于集成电路逻辑芯片、存储芯片制造领域,正在进行产业化验证,可以沉积SiO2、FSG、PSG 等介质薄膜材料。3.2 SACVD&HDPCVD:沟槽填充核心设备,产业化快速推进37ALD具备膜厚精准可控、台阶覆盖率高特点,在特定应用场景具备不可替代性。ALD属于准单原子层形式周期性生长的薄膜沉积技术,薄膜厚度精准可控。与常规CVD工艺不同,ALD成膜原理为表面自限制反应,即多种前驱物交替通过衬底表面发生反应,具备薄膜均匀性好、台阶覆盖率高等优势。ALD已广泛用于金属栅极、高k介质层、互联线势垒层等薄

78、膜沉积,尤其在高深宽比、极窄沟槽开口等领域具备不可替代性。然而,由于薄膜沉积速率较慢,ALD暂时无法在成熟制程上大规模替代PECVD等工艺。3.3 ALD:先进制程下需求快速提升,公司产品体系持续完善图:ALD为原子级别的薄膜沉积方式 图:ALD薄膜沉积类型已经十分广泛沉积材料沉积材料介电层Al2O3,ZrO2,HfO2,Ta2O5,TiO2,Nb2O5,Y2O3,MgO,CeO2,SiO2,La2O3,SrTiO3,BaTiO3等透明导体/半导体In2O3,In2O3:Sn,In2O3:F,V2O5,SnO2,ZnO,ZnO:Al,Ga2O3,NiO,CoOX等超导材料YBa2Cu3O7-x

79、其他三元材料LaCoO3,LaNiO3,LaAlO3,LaGaO3,LaMnO3等半导体/介电材料AlN,GaN,InN,SiNx,Hf3N4,Zr3N4等氮(碳)化物导体TiN(C),TaN(C),Ta3N5,NbN(C),W2N等ZnS,ZnSe,ZnTe,ZnS1-xSex,MgS,CaS,SrS,BaS,CdS,SrS1-xSex,CdTe,MnTe,HgTe,Hg1-xCdxTe等GaAs,AlAs,InAs,AIP,InP,GaP,AlxGa1-xAs,GaxIn1-xAs,CaxIn1-xPCaF2,SrF2,ZnF2等Si,Ge,Cu,W,Mo,Fe,Ni,Ta,Ir,Pt,R

80、u,Pd,Rh,Co等II-V化合物氟化物单质材料氧化物II-VI化合物薄膜类型薄膜类型38基于独特的薄膜沉积效果,先进制程下ALD工艺优势将愈发凸显,具体来看:1)在逻辑领域:45 nm以下节点,为减小漏电流和栅极耗尽效应,传统SiO2栅极介质+多晶硅栅极组合将被高k介质材料+金属栅极取代,其中高k介质材料包含Al2O3,HfO2,ZrO,Ta2O5,La2O3等,金属栅极包含Ir,Pt,Ru,TiN等,厚度多在10nm以下,大多依赖于ALD工艺。在28nm以下节点,3D FinFET结构对薄膜成长的热预算、致密度和台阶覆盖率要求更高,需通过SADP工艺加工有源区,其中ALD沉积的Space

81、r材料宽度直接决定Fin宽度,是制约逻辑芯片制程先进程度的核心要素之一。图:同等电容密度下高k栅极介质物理厚度更高 图:FinFET器件结构更加复杂&微型化3.3 ALD:先进制程下需求快速提升,公司产品体系持续完善392)在存储领域:对于3D NAND,随着堆叠层数增多,器件深宽比大幅提升,ALD在极窄沟槽开口薄膜沉积领域的技术优势将被放大;对于DRAM,存储单元为圆柱形电容,为改善电容器存储性能,一方面需要提高深宽比,另一方面需要增大介质介电常数,ALD在高深宽比、高k材料薄膜沉积领域的技术优势将被同时发挥。图:3D NAND深宽比随着层数增多明显增大 图:先进制程下DARM深宽比和介电常

82、数均明显提升3.3 ALD:先进制程下需求快速提升,公司产品体系持续完善40根据供能方式不同,ALD主要分为PF-ALD和Thermal-ALD两大类,二者适用薄膜沉积类别各异。具体来看:1)PE-ALD:利用等离子体增强反应活性,提高反应速率,具有相对较快的薄膜沉积速度、较低的沉积温度等特点,适用于沉积硅基介质薄膜材料;2)Thermal-ALD:利用热能使反应物分子吸附在基底表面,再进行化学反应,生成薄膜,具有相对较高的反应温度、优越的台阶覆盖率、高薄膜质量等特点,适用于金属、金属氧化物、金属氮化物等薄膜沉积。公司率先实现PE-ALD产业化,横向布局Thermal-ALD夯实市场竞争力。基

83、于和PECVD在等离子技术的协同性,公司已经率先实现PE-ALD的产业化突破,根据公司招股说明书,2018年取得销售,成功供货ICRD。在稳固PE-ALD行业地位的同时,公司持续加码Thermal-ALD研发力度。根据公司2023年中报披露,公司 Thermal-ALD持续获得原有客户及新客户订单,出货至不同客户端进行产业化验证,验证进展顺利,进一步提升在ALD领域的市场竞争力。图:公司ALD设备已经全面覆盖PE-ALD和Thermal-ALD 3.3 ALD:先进制程下需求快速提升,公司产品体系持续完善技术路线技术路线产品型号产品型号产品应用情况产品应用情况PF-300T Astra在集成电

84、路逻辑芯片、存储制造及先进封装领域已实现产业化应用,可以沉积高温、低温、高质量的 SiO2、SiN 等介质薄膜材料。NF-300H Astra主要应用于集成电路存储芯片制造领域,已实现产业化应用,可以沉积高温、低温、高质量的 SiO2、SiN 等介质薄膜材料。PF-300T Altair主要应用于集成电路逻辑芯片、存储芯片制造领域,正在进行产业化验证,可以沉积 Al2O3等金属化合物薄膜材料。TS-300 Altair主要应用于集成电路逻辑芯片、存储芯片制造领域,正在进行产业化验证,可以沉积 Al2O3等金属化合物薄膜材料。PE-ALDThermal-ALD412022年公司PECVD全球市占

85、率不足5%,全球化拓展空间广阔。横向对比AMAT、TEL、LAM等海外龙头企业,公司收入规模明显偏小,业务全球化扩张空间广阔。若以销售额为统计口径,我们估算2018-2022年公司在全球半导体PECVD设备领域的市占率分别为0.18%、0.93%、1.31%、1.47%和3.25%,虽快速提升,但仍不足5%,市占率提升空间较大。2022年公司中国大陆以外地区实现收入0.20亿元,占公司当年营收比重1.19%,而国内前道刻蚀设备龙头中微公司2022年中国大陆以外地区实现收入5.41亿元,占当年营收比重11.41%,可见海外市场收入是中微公司营业收入重要组成部分。根据公司招股说明书,公司2018

86、年向某国际领先晶圆厂发货一台 PECVD用于其先进逻辑芯片制造研发产线,2020 年该厂又向公司增订一台PECVD用于其上述先进制程试产线。随着公司加大海外市场拓展力度,海外市场有望成为公司业绩重要增长亮点。图:2022年公司PECVD全球市场份额仍不足5%3.4 参考本土刻蚀设备龙头中微公司,公司海外市场开拓可期0500020022AMAT(亿元)拓荆科技(亿元)图:公司收入规模远小于AMAT0.18%0.93%1.31%1.47%3.25%0%1%2%3%4%200212022公司PECVD全球市占率(%)42目录拓荆科技:

87、本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,充分受益先进封装行业浪潮六风险提示五盈利预测与投资评级43 芯片性能需求持续提升背景下,先进封装有望加速渗透。核心驱动力包括:1)从技术端来看:芯片性能提升主要依赖于增多晶体管数量(正比于芯片面积),但由于芯片尺寸受限于光罩极限,且良率随尺寸增大而降低,前道晶圆加工技术迭代趋于瓶颈,先进封装成为维持摩尔定律、超越光罩极限的有效方法。特别地,对于中国大陆市场,在美、日、荷相继加大设备制裁的背景下,先进制程晶圆制造产业化短期

88、受阻,先进封装有望成为弯道超车的重要技术路线。2)从成本端来看:随着工艺节点微缩,先进制程制造成本加速增加。Chiplet等先进封装工艺将大芯片拆解成多颗芯粒,以搭积木的形式将不同功能、不同合适工艺节点制造的芯粒封装在一起,可快速灵活开发,降低开发成本与周期。图:随着芯片面积变大,良率逐步下降图:芯片成本随工艺节点先进性提升而快速增加图:芯片尺寸逐步趋于光罩极限面积4.1“后摩尔”时代背景下,先进封装产业化加速推进44资料来源:Yole,JW Insights,华西证券研究所整体来看,全球范围先进封装市场规模稳健增长,渗透率稳步提升。据Yole development数据,2021年全球先进封

89、装市场规模约321亿美元,2027年有望达到572亿美元,期间CAGR约10%,核心增长驱动力在于先进封装渗透率快速提升,2021年约为45%,2027年有望达到52%。对于中国大陆市场,先进封装渗透率更低,2023年仅约39%,明显低于全球(47%)。往后来看,受益于AI、服务器、数据中心、汽车电子等需求放量,看好国内先进封装市场加速放量。-50%-20%10%40%0070202120222023E2024E2025E2026E2027E全球先进封装市场规模(十亿美元)yoy图:2021-2027年全球先进封装市场规模CAGR约10%图:2021-2027年全球先进封

90、装渗透率快速提升45%45%46%47%48%49%50%52%55%55%54%53%52%51%50%48%0%20%40%60%80%100%2020202120222023E2024E2025E2026E2027E图表标题传统封装先进封装25%28%32%33%35%35%36%37%38%39%75%72%68%67%65%65%64%63%62%61%0%20%40%60%80%100%2014 2015 2016 2017 2018 2019 2020 2021 2022 2023图表标题传统封装先进封装图:2021-2027年中国大陆先进封装渗透率快速提升4.1“后摩尔”时代背

91、景下,先进封装产业化加速推进45 从制造工艺端来看,为持续提升集成度,先进封装从最初的倒装封装(FC),逐步向晶圆级封装(WLP)、2.5D/3D封装等迭代。倒装封装在I/O底板上沉积锡铅球(凸块),芯片翻转加热后,利用熔融的锡铅球与陶瓷机板相结合,替代传统的引线键合。晶圆级封装2.5D/3D封装工艺原理技术优势典型应用无引脚,缩小封装尺寸增加I/O引脚数量更高的电学性能、散热性能,突破传统封装频率上限引线键合倒装键合先封装后切割,明显降低封测后的芯片尺寸晶圆批量处理,加工效率高扇出型封装提高引脚数量,互联密度大幅提升去除基板,均摊成本更低封装尺寸和重量明显减小多芯片集成,封装性能和带宽显著提

92、升有助于降低整体成本先对晶圆进行封装测试,再切割得到单个成品芯片,封装后的芯片尺寸与裸片一致,包括扇入型和扇出型两大类。扇入型扇出型三维层面的多芯片堆叠封装工艺,将多个芯片进行堆叠封装,其中2.5D封装是将不同芯片通过中介层进行电路连接,电路连接效率更高,速度更快,3D封装是直接实现硅片或者芯片间的多层堆叠。2.5D:CoWoS(台积电)、EMIB(英特尔)、I-Cube(三星)、XDFOI(长电科技)等3D:SoIC(台积电)、Foveros(英特尔)、X-Cube(三星)、3D-eSinC(华天科技)等FOWLP(扇出型晶圆级封装):InFO(台积电)、eWLB(日月光)、eSiFO(华天

93、科技)、ECP(长电科技)、安靠(SWIFT)、飞思卡尔(RCP)等/4.2 先进封装集成度大幅提升,键合工艺逐步向混合键合迭代466.52 7.33 4.43 6.72 15.86 9.20 4.21-50%-20%10%40%70%100%130%160%024681012141618引线键合设备进口金额(亿美元)同比(%)传统封装键合仍以引线键合为主,K&S和ASM占据全球80%市场份额。1)市场规模:我们预计2023年全球引线键合市场规模约9亿美元,2025年有望达到13亿美元,市场空间较为广阔。2)竞争格局:引线键合仍由欧美企业主导,K&S和ASM全球份额分别为60%和20%,合计占

94、比高达80%。2021-2022年我国引线键合设备进口金额分别达到15.86和9.20亿美元,进一步验证引线键合设备广阔的国产替代空间。图:2022年我国引线键合设备进口约9.2亿美元库力索法60%ASM20%其他20%图:库力索法和ASM占据全球80%引线键合设备市场图:2025年全球引线键合市场规模约13亿美元4.2 先进封装集成度大幅提升,键合工艺逐步向混合键合迭代-50%-20%10%40%70%100%0246800023E2025E全球半导体引线键合机市场规模(亿美元)同比(%)47 先进封装背景下,为实现堆叠芯片间

95、的高密度互联,键合逐步向混合键合方向发展。混合键合指通过CuCu金属键合、SiO2SiO2介质层键合,实现无凸点永久键合的3D堆叠高密度互连技术,可实现芯片直接的无凸点互联,进而实现芯片间的超细间距互连,比微凸点技术提升10倍以上,明显简化I/O端口、增大带宽。此外,混合键合技术还可降低封装厚度,进一步提升芯片间的互联带宽。图:芯片间的键合技术逐步由倒装焊向热压键合、混合键合迭代图:长江存储Xtacking技术采用晶圆键合工艺CMOS 外围电路晶圆NAND存储阵列晶圆合并为牢固的整体4.2 先进封装集成度大幅提升,键合工艺逐步向混合键合迭代48 序号序号厂商厂商数量数量/台台单价单价(万美元)

96、(万美元)产值产值(亿美元)(亿美元)1奥地利EV Group6592.130.5982德国SUSS MicroTec55103.350.5683日本Tokyo Electron4583.280.3604英国AML4577.840.3505日本Mitsubishi9566.130.6306日本Ayumi Industry4066.130.2657上海微电子1287.260.1052.876合计图:2020年全球晶圆键合主要厂商4.3 公司前瞻性研发布局,混合键合率先实现国产替代突破针对先进封装领域需求,公司前瞻性布局应用于晶圆级三维集成领域的混合键合设备。主要包括两种设备类别:1)晶圆对晶圆键

97、合:在常温下实现复杂的 12 英寸晶圆对晶圆多材料表面的键合工艺,同时还可实现熔融键合工艺;2)芯片到晶圆键合:分为预处理和键合两道工序,芯片对晶圆键合表面预处理产品可以实现芯片对晶圆键合前表面预处理工序。根据公司2023年中报披露,公司研制的晶圆对晶圆键合产品Dione 300已实现首台产业化应用,并获得重复订单,取得突破性进展;公司芯片对晶圆键合表面预处理产品Pollux已出货至客户端进行产业化验证,验证进展顺利,有望充分受益于先进封装对于混合键合设备的需求增长。产品系列产品系列主要产品型号主要产品型号主要应用主要应用晶圆对晶圆键合产品Dione 300晶圆对晶圆常温混合键合(Hybrid

98、 Bonding)和熔融键合(Fusion Bonding)芯片对晶圆键合表面预处理产品Pollux晶圆及切割后芯片的表面活化及清洗图:公司混合键合产品包括晶圆对晶圆、芯片对晶圆两大类49目录拓荆科技:本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,充分受益先进封装行业浪潮六风险提示五盈利预测与投资评级50 核心假设:1)PECVD设备:公司拳头产品,具备较强国产稀缺性,受益于下游客户积极扩产&量产工艺覆盖面拓展,公司有望实现高速增长,假设2023-2025年收入同比

99、增速分别为50%、40%和30%,毛利率稳定在50.00%。2)SACVD设备:主要用于Gap Fill工艺,公司同样具备较强国产稀缺性,假设2023-2025年收入同比增速分别为60%、50%和40%,毛利率稳定在48.00%。3)ALD设备:先进制程背景下需求量有望明显提升,公司从PE-ALD拓展至Thermal ALD,产品体系在快速完善丰富,假设2023-2025年收入同比增速分别为300%、150%和80%,毛利率稳定在48.00%。4)其他业务:包含HDPCVD、键合设备等新品,以及配件等后服务业务,假设2023-2025年收入同比增速分别为328%、150%和80%,假设毛利率分

100、别为50.00%、49.00%和48.00%。5.1 盈利预测51 5.1 盈利预测图表:拓荆科技分业务盈利预测表(单位:百万元)20020202222 2023E023E2 2024E024E2 2025E025E营业总收入25270540405545yoy73.39%73.99%125.02%58.60%49.36%37.25%总营业成本58毛利率31.85%34.06%44.01%49.27%49.80%49.68%49.54%收入2484186751,5632,3453,283

101、4,268yoy69%61%131%50%40%30%收入占比99%96%89%92%87%81%77%成本11,1721,6412,134毛利791482887721,1721,6412,134毛利率(%)31.99%35.49%42.64%49.41%50.00%50.00%50.00%收入941yoy375%117%60%50%40%收入占比2%5%5%5%5%5%成本156毛利-4264269103144毛利率(%)-43.61%62.99%46.83%48.00%48.00%48.00%收入22933130326587

102、yoy1455%14%300%150%80%收入占比0%4%2%5%8%11%成本05毛利22毛利率(%)87.09%44.19%46.06%48.00%48.00%48.00%收入470yoy94%86%59%328%150%80%收入占比1%2%2%1%3%5%7%成本356943111203毛利87毛利率(%)21.80%30.60%55.19%54.24%50.00%49.00%48.00%PECVD PECVD SACVD SACVD ALD ALD 其他业务 其他业务(包含键合设备包含键合设备、

103、HDPCVDHDPCVD设备等设备等)52 我们预计公司2023-2025年营业收入分别为27.05、40.40和55.45亿元,分别同比+59%、+49%和+37%;2023-2025年归母净利润分别为6.65、8.97、12.07亿元,分别同比+80%、+35%和+35%;2023-2025年EPS分别为3.53、4.77和6.41元,2024年2月28日收盘价190.38元对应PS分别为13、9、6倍,对应PE分别为54、40、30倍。考虑到公司在半导体薄膜沉积领域的市场竞争力,以及拓品类能力,成长性较为突出,首次覆盖,给予“增持”评级。5.2 投资评级图表:可比公司估值表(股价截至20

104、24/2/28)2023E2024E2025E2023E2024E2025E002371.SZ 北方华创北方华创1386.35261.4338.1452.8969.32362620688012.SH 中微公司中微公司826.18133.4116.8219.8625.28494233688037.SH芯源微芯源微144.51104.802.514.075.74583625688120.SH 华海清科华海清科290.69182.907.2710.0913.082.SH 盛美上海盛美上海379.2887.058.8711.4314.49433326453325688072.SH

105、 拓荆科技拓荆科技358.27190.386.658.9712.07544030平均平均PE代码代码公司名称公司名称市值市值(亿元亿元)股价股价(元元)归母净利润归母净利润(亿元亿元)2023E2024E2025E2023E2024E2025E002371.SZ 北方华创北方华创1386.35261.43213.74286.79370.07654688012.SH 中微公司中微公司826.18133.4162.3481.98105.09.SH芯源微芯源微144.51104.8017.1725.4234.20864688120.SH 华海清科华海清科290.69182.90

106、25.0836.0646.971286688082.SH 盛美上海盛美上海379.2887.0540.0453.0264.999761076688072.SH 拓荆科技拓荆科技358.27190.3827.0540.4055.451396PS平均平均代码代码公司名称公司名称市值市值(亿元亿元)股价股价(元元)营业收入营业收入(亿元亿元)53目录拓荆科技:本土薄膜沉积设备龙头,业绩持续快速增长一薄膜沉积设备是天然大市场,公司产品竞争优势明显二PECVD仍有较大成长空间,SACVD、ALD等市场加速开拓三四前瞻性布局混合键合设备,充分受益先进封装行业浪潮六风险提示五盈利预测与投资评级546 风险提

107、示1、晶圆厂资本开支下滑的风险:如果下游晶圆厂的产能投资强度降低,公司可能面临市场需求下降的情况,将会对公司的经营业绩会造成一定不利影响。2、新品拓展不及预期:薄膜沉积设备细分工艺类别众多,若公司新品验证不及预期,将对业绩增长带来一定负面影响。3、技术人员流失的风险:半导体设备为典型的技术密集型行业,若公司核心技术人员流失,则可能对公司市场竞争力造成一定不利影响。55财务报表和主要财务比率 利润表利润表(百万元百万元)2022A2022A2023E2023E2024E2024E2025E2025E现金流量表现金流量表(百万元百万元)2022A2022A2023E2023E2024E2024E2

108、025E2025E营业总收入1,7062,7054,0405,545净利润3646578861,193YoY(%)125.0%58.6%49.4%37.2%折旧和摊销29485357营业成本8651,3582,0332,798营运资金变动-14053-148-138营业税金及附加17243650经营活动现金流2488068381,157销售费用1资本开支-111-95-75-55管理费用81230323416投资-50000财务费用-18-33-51-66投资活动现金流-151-147-68-45研发费用379541768998股权募资2,15312900资产减值损失-2

109、3-25-28-30债务募资670-19-25-10投资收益145811筹资活动现金流2,790192-67-51营业利润3576478761,183现金净流量2,8908517031,061营业外收支8101010主要财务指标主要财务指标2022A2022A2023E2023E2024E2024E2025E2025E利润总额3646578861,193成长能力成长能力(%)所得税 0000营业收入增长率125.0%58.6%49.4%37.2%净利润3646578861,193净利润增长率438.1%80.3%35.0%34.6%归属于母公司净利润3696658971,207盈利能力盈利能力

110、(%)YoY(%)438.1%80.3%35.0%34.6%毛利率49.3%49.8%49.7%49.5%每股收益3.183.534.776.41净利润率21.6%24.6%22.2%21.8%资产负债表资产负债表(百万元百万元)2022A2022A2023E2023E2024E2024E2025E2025E总资产收益率ROA5.0%6.9%7.2%7.7%货币资金3,8274,6785,3816,442净资产收益率ROE9.9%14.8%16.7%18.3%预付款项96136203280偿债能力偿债能力(%)存货2,2973,3755,0436,935流动比率2.312.071.881.80

111、其他流动资产6018661,1721,454速动比率1.411.170.970.88流动资产合计6,8209,05511,80015,112现金比率1.301.070.860.77长期股权投资0000资产负债率49.3%53.8%56.9%58.4%固定资产382439471480经营效率经营效率(%)无形资产44454647总资产周转率0.350.320.370.39非流动资产合计493607640649每股指标每股指标(元元)资产合计7,3139,66212,44015,761每股收益3.183.534.776.41短期借款400350325315每股净资产29.3523.7928.603

112、5.06应付账款及票据8711,4152,1182,915每股经营现金流1.964.284.456.15其他流动负债1,6752,6143,8205,151每股股利0.260.000.000.00流动负债合计2,9474,3796,2638,381估值分析估值分析长期借款270270270270PE59.8753.9139.9529.68其他长期负债389548548548PB7.398.006.665.43非流动负债合计659818818818负债合计3,6055,1977,0809,199股本7少数股东权益-4-12-22-36股东权益合计3,7084,4665,35

113、96,562负债和股东权益合计7,3139,66212,44015,761财务报表和主要财务比率财务报表和主要财务比率华西证券研究所:华西证券研究所:地址:北京市西城区太平桥大街丰汇园11号丰汇时代大厦南座5层公司评级标准公司评级标准投资评级投资评级说明说明以报告发布日后的6个月内公司股价相对上证指数的涨跌幅为基准。买入分析师预测在此期间股价相对强于上证指数达到或超过15%增持分析师预测在此期间股价相对强于上证指数在5%15%之间中性分析师预测在此期间股价相对上证指数在-5%5%之间减持分析师预测在此期间股价相对弱于上证指数5%15%之间卖出分析师预测在此期间股价相对弱于上证指数达到或超过15

114、%行业评级标准行业评级标准以报告发布日后的6个月内行业指数的涨跌幅为基准。推荐分析师预测在此期间行业指数相对强于上证指数达到或超过10%中性分析师预测在此期间行业指数相对上证指数在-10%10%之间回避分析师预测在此期间行业指数相对弱于上证指数达到或超过10%分析师承诺分析师承诺作者具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解,通过合理判断并得出结论,力求客观、公正,结论不受任何第三方的授意、影响,特此声明。评级说明评级说明56免责声明免责声明华西证券股份有限公司(以下简称“本公司”)具备证券投资咨询业务资格。

115、本公司不会因接收人收到或者经由其他渠道转发收到本报告而直接视其为本公司客户。本报告基于本公司研究所及其研究人员认为的已经公开的资料或者研究人员的实地调研资料,但本公司对该等信息的准确性、完整性或可靠性不作任何保证。本报告所载资料、意见以及推测仅于本报告发布当日的判断,且这种判断受到研究方法、研究依据等多方面的制约。在不同时期,本公司可发出与本报告所载资料、意见及预测不一致的报告。本公司不保证本报告所含信息始终保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者需自行关注相应更新或修改。在任何情况下,本报告仅提供给签约客户参考使用,任何信息或所表述的意见绝不构成对任

116、何人的投资建议。市场有风险,投资需谨慎。投资者不应将本报告视为做出投资决策的惟一参考因素,亦不应认为本报告可以取代自己的判断。在任何情况下,本报告均未考虑到个别客户的特殊投资目标、财务状况或需求,不能作为客户进行客户买卖、认购证券或者其他金融工具的保证或邀请。在任何情况下,本公司、本公司员工或者其他关联方均不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告而导致的任何可能损失负有任何责任。投资者因使用本公司研究报告做出的任何投资决策均是独立行为,与本公司、本公司员工及其他关联方无关。本公司建立起信息隔离墙制度、跨墙制度来规范管理跨部门、跨关联机构之间的信息流动。务请投资者注意,在法律许可的前提下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的前提下,本公司的董事、高级职员或员工可能担任本报告所提到的公司的董事。所有报告版权均归本公司所有。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,如需引用、刊发或转载本报告,需注明出处为华西证券研究所,且不得对本报告进行任何有悖原意的引用、删节和修改。57

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-公司研究报告-半导体薄膜沉积设备国产领军者产品体系持续完善打开成长空间-240301(58页).pdf)为本站 (竹蜻蜓) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部