上海品茶

您的当前位置:上海品茶 > 报告分类 > DOCX报告下载

2020中国半导体制造行业发展现状市场竞争逻辑分析产业研究报告(60页).docx

编号:19997 DOCX 61页 3.34MB 下载积分:VIP专享
下载报告请您先登录!

2020中国半导体制造行业发展现状市场竞争逻辑分析产业研究报告(60页).docx

1、2020 年深度行业分析研究报告内容目录611. 半导体制造:半导体产业链中的王者62. 半导体制造行业三大核心问题62.1. 半导体制程发展之路:摩尔定律还能走多远?62.1.1. 成熟制程以 28nm 为代表92.1.2. 先进制程得先进制程者得天下112.2. 晶圆尺寸152.3. 晶圆产能173. 半导体制造行业竞争逻辑204. 制造行业长期成长逻辑/未来增量空间234.1. 长期成长逻辑234.2. 近年来的主线,5G、IoT、车用半导体、AI 提供大增量315. 中国半导体制造业的机会在哪里?356. 半导体制造厂商376.1. 台积电376.2. 三星416.3. 英特尔446.

2、4. 格罗方德456.5. 联电486.6. 中芯国际516.7. 华虹半导体556.8. 晶圆代工企业估值对比59图表目录图 1:摩尔定律晶体管数量的发展6图 2:DRAM 厂制程技术时程图7图 3:NAND 厂制程技术时程图7图 4:各制程节点的成本比较8图 5:2015-2025 年半导体各制程需求8图 6:28nm 与 40nm 制程的对比9图 7:Poly/SiON 工艺与 HKMG 工艺图9图 8:Poly/SiON 工艺与 HKMG 比较10图 9:poly/SiON vs. HKMG 性能与成本比较10图 10:各厂商导入 28nm 的时间10图 11:光刻机在半导体各产品制程

3、的应用11图 12:3D FinFET、FD-SOI 和 2D palnnar 结构对比12图 13: FinFET 和 FD-SOI 对比13图 14:各厂先进制程发展图13图 15:Intel、TSMC、Samsung 各制程晶体管密度对比14图 16:7nm 以下先进制程市场份额14图 17:晶圆代工厂每片晶圆营收对比14图 18:晶圆尺寸发展历史15图 19:晶圆直径与面积对比15图 20:每个技术节点的晶圆每单位面积的生产成本15图 21:全球不同尺寸半导体硅片出货面积16图 22:全球不同尺寸半导体硅片出货面积占比17图 23:硅晶圆尺寸与制程对应17图 24:200mm 硅片产能

4、趋势18图 25:300mm 硅片产能趋势18图 26:300mm 硅片的产能及需求18图 27:根据制程节点分类的全球 200mm 当量硅片月产能单位:百万18图 28:全球与中国大陆芯片制造产能扩张情况20图 29:晶圆厂平均产能利用率20图 30:台积电与联电人才资源分布20图 31:各厂先进制程发展图21图 32:半导体研发支出年复合增长率21图 33:台积电、联电、中芯国际研发支出单位:亿美元22图 34:前五大半导体企业资本支出占比22图 35:纯晶圆代工厂的资本支出单位:亿美元23图 36:半导体制造领域资本、技术、人才三者相互作用形成马太效应23图 37:半导体终端应用市场26

5、图 38:全球智能手机出货量26图 39:全球数据中心服务器市场单位:亿美元27图 40:逻辑器件营收变化及占比27图 41:存储器件营收变化及占比28图 42:存储市场细分营收变化单位:亿美元28图 43:超越摩尔定律的器件对晶圆的需求量29图 44:电源管理芯片营收按应用划分单位:百亿美元30图 45:CMOS 传感器营收及增长30图 46:MEMS 传感器营收及增长31图 47:全球 5G 连接及占总蜂窝连接份额31图 48:2019 年全球 5G 芯片组应用市场32图 49:全球 IoT 各细分市场终端连接点数量单位:亿个32图 50:汽车电子元件分布33图 51:汽车各部分半导体收入

6、33图 52:汽车电子成本贡献及每辆车半导体用量34图 53:不同级别自动驾驶的传感器数量34图 54:全球 AI 芯片市场规模及增速35图 55:国内半导体供需情况35图 56:中国半导体营收结构单位:亿元36图 57:台积电历年营收及变化37图 58:台积电晶圆厂区37图 59:台积电晶圆 14 厂外观38图 60:台积电 12 寸厂内观38图 61:2018 年台积电各晶圆厂客户及技术种类38图 62:台积电制程发展39图 63:台积电各制程营收占比39图 64:28nm 及以下营收占比39图 65:台积电晶圆产能 12 寸当量40图 66:台积电研发支出及资本支出40图 67:台积电股

7、价变化单位:美元40图 68:台积电 PE-Band41图 69:台积电 PB-Band41图 70:三星晶圆制造业务发展史42图 71:晶圆制造业务营收42图 72:2018 年三星晶圆制造营收与其他晶圆厂对比42图 73:三星电子晶圆厂分布42图 74:三星制程发展的技术支持43图 75:三星制程发展路线图43图 76:英特尔先进制程布局45图 77:格罗方德发展历程45图 78:格罗方德的营收变化45图 79:晶圆厂分布46图 80:格罗方德 FinFET 和 FD-SOI 两条技术路线47图 81:格芯解决方案47图 82:联电各项财务指标48图 83:2Q19-1Q20 晶圆总产能变

8、化单位:千片49图 84:晶圆平均单价变化(8 寸当量)49图 85:2014-2019 年联电产能利用率49图 86:18Q4-19Q4 产能利用率49图 87:联电营收结构(按制程分类)50图 88:联电资本支出与研发支出50图 89:联电收盘价50图 90:联电 PB-Band51图 91:联电 PE-Band51图 92:中芯国际各项财务指标51图 93:中芯国际 2019 单季度毛利率变化52图 94:中芯国际晶圆厂分布52图 95:中芯国际晶圆总产能及产能利用率晶圆产能单位:片53图 96:中芯国际产能利用率与业界平均产能利用率对比53图 97:中芯国际营收结构按制程分类54图 9

9、8:中芯国际资本支出和研发支出54图 99:中芯国际收盘价54图 100:中芯国际 PE-Band55图 101:中芯国际 PB-Band55图 102:华虹半导体各项指标56图 103:华虹半导体每月产能及产能利用率56图 104:晶圆片单价变化57图 105:华虹半导体营收结构按制程划分57图 106:华虹半导体营收结构按产品分类57图 107:华虹半导体的资本支出及资本与营收比58图 108:华虹半导体收盘价58图 109:华虹半导体 PB-Band58图 110:华虹半导体 PE-Band59图 111:各晶圆制造厂 PB 对比59图 112:晶圆代工企业 PB vs ROE60表 1

10、:各个工艺节点和工艺及光刻机光源类型的关系图11表 2:全球前五大晶圆厂产能情况等效为 200mm 计算19表 3:半导体下游市场增长24表 4:手机 CPU 世代表24表 5:Intel/AMD CPU 世代表25表 6:PC 出货量27表 7:半导体下游市场增长27表 8:台积电与三星在 7nm 上的区别44表 9:台积电与三星在其他先进节点上的对比44表 10:各厂商制程节点晶体管密度比较44表 11:各厂商制程节点晶体管密度比较(续表)44表 12:格芯各晶圆厂详情46表 13:联电晶圆厂规划情况及实际产能单位:千片48表 14:中芯国际晶圆厂设计情况及实际产能单位:千片52表 15:

11、华虹半导体晶圆厂561. 半导体制造:半导体产业链中的王者将半导体产业链分为上中下游。上游芯片制造封测支撑行业,主要是半导体设备和材料提 供商,设备代表厂商有 ASML、应材、Lam,国内企业有北方华创、中微公司;材料代表 厂商有信越化学、SUMCO、住友化学、陶氏化学,国内厂商有华特气体、安集科技等。中游半导体制造产业分为集成电路设计、制造、封测三个部分。IC 设计厂商有高通、AMD、 英伟达、联发科,国内厂商有华为海思、卓胜微、圣邦股份、紫光国微等;IC 制造厂商有 台积电、联电、格罗方德,国内厂商有中芯国际、华虹半导体;IC 封测厂商有日月光、矽 品、AMKOR,国内厂商有长电科技、华天

12、科技、通富微电、晶方科技等。涵盖 IC 设计、 制造、封测三者的 IDM 厂商有 Intel、三星电子、索尼、TI,国内厂商有长江存储和士兰微。 半导体下游终端应用领域有汽车电子、工业电子、通信、消费电子、PC 等领域。在行业价值链中,半导体制造占有近一半的产值,毛利率也较高,但高价值伴随着高壁垒, 技术限制、高额的资本投入导致制造领域马太效应十分明显,龙头厂商市占率和毛利率均 远高于其他厂家。2. 半导体制造行业三大核心问题半导体制造行业的关注点主要集中在三点上,这三个问题是半导体制造行业技术发展的强 劲推动力,也是马太效应形成的根本原因: 半导体制程的发展 晶圆的尺寸晶圆厂的产能 我们将分

13、别针对这三个问题进行深度剖析。2.1. 半导体制程发展之路:摩尔定律还能走多远?半导体制程工艺的发展,离不开摩尔定律。摩尔定律指出,当价格不变时,集成电路上可 容纳的元器件的数目,约每隔 1824 个月便会增加一倍,性能也将提升一倍。随着晶体管 尺寸的减少,相同单位面积中可以容纳更多的晶体管,相同大小的处理器可以获得更高的 处理能力。且小的晶体管消耗的功率少,这减少了芯片的总功耗,产生的热量也随之降低, 因此可以进一步提高时钟速度。图 1:摩尔定律晶体管数量的发展资料来源:techcenturion、在制程发展上,Intel、IBM、三星、GF 等按着 180nm - 130nm - 90nm

14、 - 65nm - 45nm- 32nm - 22nm 的步调前行(三星和 GF 在 32nm 后转向 28nm),而台积电等半导体晶 圆代工厂则走上了 150nm-110nm-80nm-55nm-40nm-28nm-20nm 的路线。随 着制程的发展,行业集中度提升,10nm 以下制程只有英特尔、三星、台积电三个公司。存储芯片制程发展与逻辑芯片制程发展速度不太一致,但都受到摩尔定律的限制。DRAM 目前最先进工艺位于 18-15nm 之间,通常认为 10nm 是物理极限。从 2016 年开始,供 应商开始采用 1xnm 节点制,其中供应商在路线图上拥有三种 DRAM 产品(1xnm,1ynm

15、 和 1znm)。最初,将 1xnm 节点定义为具有 17nm 至 19nm 几何形状的 DRAM,1ynm 是 14nm 至 16nm,1znm 是 11nm 至 13nm。目前供应厂商的节点停留在 1xnm 状态(1xnm, 1ynm,1znm,新三代制程包括 1anm,1bnm,1cnm 都属于 1xnm 节点机制)。各大厂商 正在积极寻找新的解决方案,继续扩展 DRAM 并提高性能,在未来的制程节点上可能会使 用 EUV 光刻实现转变。SK Hynix 计划在 1anm 使用 EUV,该技术将于 2021 年面世。三星 在 1znm 完成了对 DRAM 的 EUV 测试,但不会用于量产

16、,可能会用于 1a 或 1b 的产品中。 美光则计划将 193nm 浸没式光刻和 SADP 扩展到 1bnm。图 2:DRAM 厂制程技术时程图资料来源:TechInsight、NAND 闪存驱动器的容量取决于芯片中的单元数量。在 2D NAND 结构中,存储单元在单 个管芯层中彼此相邻放置,以提高存储容量。单元尺寸从 120 nm 减小到 1x nm,使容量 增加了 100 倍,且当前 15nm/14nm 已经达到了极限。2D NAND 技术存在两个局限,一 是单元缩小是依靠光刻技术实现的,当光刻技术到达极限时,单元无法进一步缩小;二是 当存储单元降至 20 nm 以下时,电荷从一个单元泄漏

17、到另一个单元的机会大大增加,这 种单元间干扰会导致数据损坏,从而严重损害闪存的可靠性。因此厂商们转向 3D NAND, 单元以垂直堆叠的方式来增加密度。3D 堆叠有单层堆栈和线堆栈两种。在最新的 128 层 中,厂商大多使用两个 64 层进行堆叠,三星计划单层堆叠,在没有其他新突破的情况下,128 层是单层堆叠的极限。而线堆叠在 500 层也可能存在问题,因此还需要探索新的堆叠 方法。图 3:NAND 厂制程技术时程图资料来源:TechInsight、不管是逻辑芯片还是存储芯片,制程量级越低,技术难度越大,制作成本也越高。IBS 的 数据显示:28nm 体硅器件的设计成本大致在 5130 万美

18、元左右,而 7nm 芯片需要 2.98 亿, 5nm 则需要 5.42 亿美元,成本的增长速度越来越快。图 4:各制程节点的成本比较资料来源:IBS、extremetech、半导体制程以 28nm 为界线,分为先进制程和成熟制程。从需求上看,先进制程需求在逐 年增长,成熟制程需求较为稳定。图 5:2015-2025 年半导体各制程需求资料来源:SEMI、2.1.1. 成熟制程以 28nm 为代表28nm 是半导体制程里性价比最高、长周期属性明显的制程。一方面,相较于 40nm 及更 早期制程,28nm 工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有明显优势。 另一方面,由于 16nm/1

19、4nm 及更先进制程采用 FinFET 技术,维持高参数良率以及低缺陷 密度难度加大,每个逻辑闸的成本都高于 28nm,从前面制程成本比较的图中也可以看出, 先进制程每一代成本都加速上升。随着成本不断上升,只有少数客户能够负担得起转向高 级节点的费用。图 6:28nm 与 40nm 制程的对比资料来源:华强电子网、28nm 工艺处于 32nm 和 22nm 之间,业界在 45nm 阶段引入了 high-k 值绝缘层/金属栅极(HKMG)工艺,在 32nm 处引入了第二代 high-k 绝缘层/金属栅工艺,这些为 28nm 的 逐步成熟打下了基础。而在之后的先进工艺方面,从 22nm 开始采用

20、FinFET(鳍式场效应 晶体管)等。28nm 正好处于制程过渡的关键点上,使其性价比高。28nm 制程主要有 HKMG 工艺(金属栅极+高介电常数绝缘层 High-k 栅结构)和 poly/SiON 工艺(多晶硅栅+氮氧化碳绝缘层的栅极结构)。与传统的 Poly/SiON 工艺相比, HKMG 技术可以有效的改善驱动能力,进而提高晶体管的性能,同时大幅降低低栅极漏电 量。Poly/SiON 工艺的特点是成本低,工艺简单,适合对性能要求不高的手机和移动设备。 HKMG 的优点是大幅减小漏电流,降低晶体管的关键尺寸从而提升性能,但是工艺相对复 杂,成本与 Poly/SiON 工艺相比较高。图 7

21、:Poly/SiON 工艺与 HKMG 工艺图资料来源:Elecinfo、图 8:Poly/SiON 工艺与 HKMG 比较图 9:poly/SiON vs. HKMG 性能与成本比较资料来源:Elecinfo、资料来源:拓墣产业、市场上提供 28nm 制程的主要厂商有台积电、联电、三星、GF、中芯国际、华虹半导体等。 台积电于 2011 年开始导入 28nm 制程量产,并在 2012 年攻克了 28nm HKMG 制程,三星 则是在 2012 年实现了 28nm 的量产,并于 2013 年导入了 28nm HKMG。UMC 在 2014 年实现量产 28nm 的 HKMG,目前公司放弃了 1

22、2nm 以下先进制程的研发。格芯在 2013年量产,格芯的主要工艺是 FD-SOI。中芯国际在 2015 年开始导入 28nm 制程量产的, 并于 2018 年导入 HKMG,同年华虹宣布开始 28nm 制程量产。图 10:各厂商导入 28nm 的时间资料来源:半导体行业观察、电子工程世界、目前,虽然高端市场被 7nm、10nm 以及 14nm/16nm 工艺占据,但 40nm、28nm 等并 不会退出。 28nm16nm 工艺现在仍然是台积电的营收主力,中芯国际则在持续提高 28nm 良率。在下游需求方面,IoT/穿戴装置与面板驱动 IC 需求看涨。一方面,IoT 芯片功能大多以数据收集为主

23、,功能单纯且需维持长时间使用并兼顾低价高量,因此多半集中在 28nm 以上IoT 与各项领域结合程度越来越高,5G 与 AI 的推动让 IoT 有了进一步的技术需求,也让客户评估制程技术转移的可能性。另一方面,受惠 OLED 面板在更多的 终端应用产品上渗透率持续上升,以及国内 OLED 厂商产能陆续开出,OLED DDIC(面板驱 动 IC)市场也将成为新一波 28nm 的成长动能;过去 OLED DDIC 以 40nm 制程为主,但为 了满足日后需求量上升,在既有 40nm 产能已满载而 28nm 产能出现空缺的情况下,晶圆 代工厂商也积极与客户合作制程转移,期望能达到填补 28nm 缺口

24、并囊括更多订单。2.1.2. 先进制程得先进制程者得天下 半导体从成熟制程发展先进制程,必须要解决光刻、晶体管架构、沟道材料三个问题。光刻光刻是半导体制造过程中最难的一步。在半导体制作过程中,光刻设备会投射光束, 穿 过印着图案的掩模及光学镜片,将线路图曝光在带有光感涂层的硅晶圆上;通过蚀刻曝光 或未受曝光的部份来形成沟槽,然后再进行沉积、蚀刻、掺杂,架构出不同材质的线路; 此制程被一再重复, 就能将数以十亿计的 MOSFET 或其他晶体管,建构在硅晶圆上,形 成一般所称的集成电路。光刻决定了半导体线路的精度,以及芯片功耗与性能,相关设备 需要集成材料、光学、机电等领域最尖端的技术。表 1:各

25、个工艺节点和工艺及光刻机光源类型的关系图NodeWafer SizeMetalLithoHKMGFinFET0.5um200mmAI汞灯光源g-line:436nm0.35um200mmAIi-line:365nm0.25um200mmAIDUV 光源KrF:248nm(stepper)0.18um200mmAIKrF:248nm(stepper&scanner)0.13um200/300mmAI/CuArF:193nm90nm300mmAI/CuArF:193nm65/55nm300mmCuArF:193nm45/40nm300mmCuArFi:193(134nm)28nm300mmCuAr

26、Fi:193(134nm)22/20nm300mmCuArFi:193(134nm)14/16nm300mmCuArFi:193(134nm)10nm300mmCuArFi:193(134nm)7nm300mmCuEUVEUV:13.5nm/ArFi:193(134nm)5nm 3nm300mm 300mmCu CuEUV:13.5nm EUV:13.5nm资料来源:电子说、光源是光刻机核心之一,光刻机的工艺能力首先取决于其光源的波长。光源波长越短,激 发出的更小尺寸的光子。曝光方式分为 Stepper 和 Scanner 两种。Stepper 一次性将整个区 域进行曝光;Scanner 将镜

27、头沿 Y 方向的一个细长空间曝光,硅片和掩模同时沿 X 方向移 动经过曝光区动态完成整个区域的曝光。Scanner 曝光图像畸变小、一致性高,速度也更 快,所以目前主流光刻机都是 Scanner。下一代的工艺,就必须采用 EUV 光源的设备,三星 7nm 节点上已经采用了 EUV 设备。随 着先进制程的进一步发展,EUV 光刻设备是必要设备。图 11:光刻机在半导体各产品制程的应用资料来源:ASML、目前 EUV 技术主要运用在逻辑工艺制程中。由于三星、台积电在 2019 年大规模量产 EUV 工艺,所以 2019 年是 ASML 的 EUV 光刻机大幅增长的一年。ASML 2019 年财报显

28、示,全 年共计出货 26 台 EUV 光刻机,营收 118.2 亿欧元,同比增长了 8%,EUV 光刻机的营收占 比从 2018 年的 23%提升到 31%。未来也会保持这个趋势,预计 2020 年交付 35 台 EUV 光刻机,2021 年则会达到 45 台到 50 台的交付量。ASML 还针对后续更为先进的 3 纳米、2 纳米制程的需求,开始规划新一代 EUV 光刻机 EXE:5000 系列。 晶体管架构在半导体制程进化的过程中,um 级及高尺寸 nm 级采用的都是 MOS 结构,缩小尺寸就是 缩小了栅极横向的宽度。随着制程要求的进一步提高,如果采用 MOS 结构,线宽需要继 续缩小,这会

29、造成源端和漏端短路,电路会因此失效,且饱和电流会过小。 为了解决这些问题,出现了 FinFET 技术和 FD-SOI 技术。FinFET 是一种场效应晶体管,其具有一从基材突出的狭窄半导体材料有源区域,因此, 类似于鳍(fin)。此鳍包括源极区域与漏极区域。鳍的有源区域通过浅沟槽隔离(shallow trench isolat1n, STI)而被分隔,一般通过二氧化娃(S12)。鳍式场效应晶体管也包括一位于源极区域与漏极区域之间的栅极区域。栅极区域形成于鳍的上表面与侧壁,以包裹围绕鳍。在栅极下方延伸且介于源极区域与漏极区域之间的鳍的部分为沟道区域。FD-SOI 技术是一种平面工艺,利用不同的起

30、始衬底,利用现有的 CMOS 平面制造方法的 性能并扩展其性能。FD-SOI 衬底具有一层超薄的绝缘体层掩埋氧化物,位于基底硅的顶 部。晶体管通道由非常薄的硅薄膜组成。在设计上,FD-SOI 技术比传统的大体积 CMOS 具有更好的晶体管静电特性,并减少了器件泄漏。图 12:3D FinFET、FD-SOI 和 2D palnnar 结构对比资料来源:semiengineering、SOI 技术不需要在 Fab 厂上进行大量投资,且具有良好的背栅偏置选项。通过在 BOX 下 方创建后栅极区域,我们还可以控制电压,这使其适用于低功耗应用。但 SOI 技术很难控 制晶片中的锡硅膜,故晶片的成本要高

31、于块状硅晶片,且 SOI 晶圆的供应商数量比较少, 这将使总工艺成本增加约 10。与 SOI 相比,FinFET 具有更高的驱动电流,应变技术可FinFET 制造工艺复杂。图 13: FinFET 和 FD-SOI 对比资料来源:design-reuse、在应用上,FinFET 主要应用于高性能、高集成度领域,比如 GPU 和 CPU 等高性能器件。 FD-SOI 在 IoT、5G、AI 和 ADAS/自动驾驶中在成本和性能方面显现出优势。 两种技术各有特点,未来在 40/28 纳米,14/10 纳米的 FD-SOI 和 FinFET 技术会共存一段 相当长时间。最终发展到 7 纳米及以下时,

32、SOI 也将从 2D 发展到 3D,即发展为 SOI FinFET 工艺。这表明 SOI 与 FinFET 技术可能殊途同归。 沟道材料沟道是连接 MOS 器件源和漏之间的一个导电区域,沟道材料影响电子在沟道中的迁移率。 半导体制程向更先进的节点迈进时,需要找到合适的沟道材料,加快电子在沟道中的移动 速度,提高器件的频率。锗及 III-V 族化合物具有这种特性,但是这些材料必须要具备与硅 材料兼容的工艺,因此目前 SiGe 是比较好的选择。随着这三个问题的解决,半导体制程向先进工艺发展。目前,台积电和三星均已有 7nm 工艺,英特尔为 10nm 工艺,但从晶体管密度上看,英特尔 10nm 技术

33、可与三星和台积电 的 7nm 技术媲美。图 14:各厂先进制程发展图资料来源:ICinsight、图 15:Intel、TSMC、Samsung 各制程晶体管密度对比资料来源:techcenturion、拓墣产业研究院估计 2019 年 7nm 以下先进制程市场,台积电占比高达 52%,英特尔凭借 其 10nm(相当于台积电 7nm)的量产拿到了 25%的市场份额,三星的占比则为 23%。图 16:7nm 以下先进制程市场份额资料来源:techcenturion、先进制程的优势在哪里?从客户端来看,目前选择 7nm 工艺的客户已经超过 10 多家(AMD、苹果、Bitman、博通、 海思、联发

34、科、英伟达、高通、赛灵思、平头哥等),7nm EUV 至少有 5 家(AMD、苹果、 海思、三星、高通),6nm 的客户则除了以上的 5 家之外,还多了博通和联发科,5nm 目 前已确认将采用的客户则有 AMD、苹果、海思、三星和赛灵思。这些客户带来庞大体量 的订单给代工厂带来高额营收,2019 年,台积电作为唯一采用 7nm 工艺技术的纯晶圆代 工厂,每片晶圆营收显著增加。台积电是唯一一家在 2019 年(13%)获得比 2014 年更高 收入的纯晶圆代工厂。相比之下,GlobalFoundries、UMC 和中芯国际(其最小工艺节点为 12/14nm)的 2019 年每片晶圆收入分别比 2

35、014 年下降了 2%、14%和 19%。图 17:晶圆代工厂每片晶圆营收对比资料来源:ICinsight、除了晶圆代工和逻辑芯片制造外,三星、美光、SK Hynix 和 Kioxia/WD 等存储器供应商 也在使用先进的工艺制造 DRAM 和 flash 存储器组件。下游企业采用越来越先进的工艺, 未来先进制程会给制造厂商贡献更多的营收。2.2. 晶圆尺寸从晶圆发展历程来看,晶圆尺寸经历了 2 英寸、4 英寸、5 英寸、6 英寸、8 英寸和 12 英 寸。晶圆的尺寸越大,在单片晶圆上制造芯片的数量就越多,单片芯片的分摊成本随之降 低,并且晶圆片边缘的损失会减小,因此发展大尺寸晶圆片有利于降低

36、成本,但同时对设 备和工艺的要求也越高。在同样的工艺条件下,300mm 半导体晶圆的可使用面积超过 200mm 晶圆的两倍以上,可使用率(衡量单位晶圆可生产的芯片数量的指标)是 200mm 硅片的 2.5 倍左右。图 19 为不同尺寸晶圆面积对比,资料来源于文章 Critical Dimension Sample Planning for 300 mm Wafer Fabs(作者:Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthik

37、umar, Ph.D., UC Berkeley)。图 18:晶圆尺寸发展历史图 19:晶圆直径与面积对比资料来源:Semi Media、资料来源:Yield Management Solutions、图 20:每个技术节点的晶圆每单位面积的生产成本资料来源:Intel、晶圆的原材料就是硅片,晶圆尺寸、需求与硅片息息相关。全球半导体硅片市场最主流的 产品规格为 300mm 和 200mm,300mm 硅片出货面积增长速度明显高于 200mm 和 150mm。2018 年,300mm 硅片和 200mm 硅片市场份额分别为 63.83%和 26.14%,两 种尺寸硅片合计占比接近 90%。图 2

38、1:全球不同尺寸半导体硅片出货面积资料来源:硅产业招股说明书、SEMI、200mm 硅片的发展:2011 年开始,200mm 半导体硅片市场占有率稳定在 25-27%之间。2016 年至 2017 年,由于汽车电子、智能手机用指纹芯片、液晶显示器市场需求快速增 长,200mm 硅片出货面积同比增长 14.68%。2018 年,受益于汽车电子、工业电子、物联 网等应用领域的强劲需求,以及功率器件、传感器等生产商将部分产能从 150mm 转移至 200mm,带动 200mm 硅片继续保持增长。300mm 硅片的发展:自 2000 年全球第一条 300mm 芯片制造生产线建成以来,300mm 半导体

39、硅片市场需求增加,出货面积不断上升。2008 年,300mm 半导体硅片出货量首次 超过 200mm 半导体硅片;2009 年,300mm 半导体硅片出货面积超过其他尺寸半导体 硅片出货面积之和。2000 年至 2018 年,由于移动通信、计算机等终端市场持续快速发 展,300mm 半导体硅片市场份额从 1.69%大幅提升至 63.83%,成为半导体硅片市场最主年,由于人工智能、区块链、云计算等新兴终端市场的蓬勃发展,300mm 半导体硅片出货面积年均复合增长率为 8.36%。图 22:全球不同尺寸半导体硅片出货面积占比图 23:硅晶圆尺寸与制程对应资料来源:SEMI、硅产业招股说明书、制程工

40、艺的进步对硅片面积要求更高。目前,90nm 及以下的制程主要使用 300mm 硅片, 90nm 以上的制程主要使用 200mm 或更小尺寸的硅片。随着半导体制程的不断缩小,芯 片生产的工艺愈加复杂,生产成本不断提高,成本因素驱动硅片向着大尺寸的方向发展。 因此未来几年,300mm 仍将是半导体硅片的主流品种。资料来源:观研天下、下游产品中,大尺寸硅片能够生产更多类型的产品,且尺寸越大,高端芯片需求越高。 不过近年来受到新兴市场的驱动,八寸晶圆也面临供不应求的局面。全球 8 英寸晶圆厂大 多拥有成熟的制程,运营时间较长从而可以让固定成本和运营成本降低,适合多样化产品 和特色工艺的开发。2.3.

41、晶圆产能自 2000 年以来,半导体产业靠着增加晶圆投片量来提高芯片出货量,利用制程微缩让每 片晶圆切割出更多芯片的贡献并不多。从 20002019 年,每片晶圆切割出的良品芯片的 年平均成长率仅 0.9,但通过增加晶圆投片来增加的良品芯片的年平均成长率达 6.5。 总体来看,20002019 年全球每年新增加的芯片数量,有 86来自晶圆投片量增加,只 有 14是来自制程微缩让每片晶圆切割出更多芯片。因此晶圆产能意味着潜在的销售量, 是影响制造厂商营收的一大因素。从供需结构分析,供给端 2019Q4 硅片全球产能,200mm 已经回落至 500 万片/月,同 2016 年周期启动时同一水准,3

42、00mm 接近 600 万片/月,落于景气高点水位之下,考虑 到需求端芯片存在 1 高性能计算芯片/指纹识别 die 面积增大;2 新应用(5G/车联网/云计 算)等所需硅含量提升,供需紧平衡已经出现。图 24:200mm 硅片产能趋势图 25:300mm 硅片产能趋势资料来源:SUMCO、资料来源:SUMCO、图 26:300mm 硅片的产能及需求资料来源:SUMCO、根据制程节点划分,200mm 当量晶圆月产能如下所示。先进制程的发展是晶圆需求的强 劲拉动力。图 27:根据制程节点分类的全球 200mm 当量硅片月产能单位:百万765.596.296.616.275.294.463.453

43、.5853.873.723.8843.3522.372.232.8 2.32.632.9521.05100.4303.881.463.982002310nm20nm-10nm28nm-20nm65nm-28nm0.2um-65nm 0.2um资料来源:ICinsight、截至 2019 年 12 月,全球排名前五名晶圆每月的产能超过 100 万片晶圆(200mm 等效晶 圆),产能合计占全球晶圆总产能的 53。表 2:全球前五大晶圆厂产能情况 等效为 200mm 计算(千片/月)(千片/月)1三星韩国293429350%15.0%2台积电中国台湾243925053%12.

44、8%3美光美国168518419%9.4%4SK 海力士韩国163017437%8.9%5铠侠/WD日本136114063%7.2%排名公司地区201812 产 能 201912 产 能同比全球市场份额资料来源:ICinsight、 截至 2019 年 12 月,三星拥有最多的晶圆产能,每月有 290 万片 200mm 等效晶圆。 占全球总量的 15,其中约三分之二用于制造 DRAM 和 NAND 闪存设备。目前正在进行 的主要建设项目包括在其韩国华城和平泽以及中国西安的大型新工厂。 排在第二位的是台积电(TSMC),这是世界上最大的纯晶圆代工厂,每月产能约为 250 万片晶圆,占全球总产能的

45、 12.8。该公司一直在其位于中国台湾台中的 Fab15 工厂(第 9 期/第 10 期大楼)中增加一个新工厂,并在其位于中国台湾台南的 Fab 14 工厂附近建造 一个新工厂(Fab18)。 美光拥有第三大产能,晶圆数量略多于 180 万,占全球产能的 9.4。美光在 2019 年 的产能增长得益于其在新加坡的工厂开设的新 300mm 晶圆厂。该公司还收购了位于犹他 州 Lehi 的 IM Flash 合资工厂中的英特尔股份。美光科技计划在 2020 年在弗吉尼亚州的马 纳萨斯开设第二家晶圆厂。 SK 海力士排第四,每月晶圆产能接近 180 万晶圆(占全球总产能的 8.9)。其中 80 以上用于制造 DRAM 和 NAND 闪存芯

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2020中国半导体制造行业发展现状市场竞争逻辑分析产业研究报告(60页).docx)为本站 (风亭) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部