上海品茶

您的当前位置:上海品茶 > 报告分类 > DOCX报告下载

2020中国半导体芯片封测材料行业国产替代需求市场产业研究报告(43页).docx

编号:20031 DOCX 43页 3.17MB 下载积分:VIP专享
下载报告请您先登录!

2020中国半导体芯片封测材料行业国产替代需求市场产业研究报告(43页).docx

1、2020 年深度行业分析研究报告内容目录一、中芯国际上市,材料设备链国产替代加速5二、需求旺盛,设计、IP 产业蓬勃发展8三、材料国产化进度超预期,相关龙头加速突破133.1 中国需求巨大,国产替代揭开序幕133.2 CMP 受益半导体市场及制程发展,市场持续增长183.3 电子特气受益于下游扩产带动,国产化进程开启213.4 硅片:半导体材料重中之重,国内逐步实现突破223.5 光刻胶:逐步突破,任重而道远26四、下游投建如火如荼,设备替代正当其时274.1 全球设备市场回暖,受益于制程进步、产能投放274.2 前道设备占主要部分,测试需求增速最快314.3 全球市场受海外厂商误导,前五大厂

2、商市占率较高344.4 国内需求爆发,国产替代进展加速35五、封测:全球竞争力加强,潜在承接国内需求增量385.1 封测市场:国内封测市占率逐步提升,国产替代需求进一步加码385.2 产能利用率修复,收入保持高增速405.3 5G 射频带来集成化封装需求大幅提升42图表目录图表 1:募集资金用途(单位:万元)5图表 2:中芯国际重要的产业链地位5图表 3:中芯国际一站式的解决方案5图表 4:中国芯片设计成长率(单位:十亿美元)6图表 5:中芯国际来自本土客户收入迅猛增长(百万美元)6图表 6:中芯国际与台积电量产制程代际差(20202021 为预期情况)6图表 7:中国“芯”阵列7图表 8:聚

3、源芯星基金认缴情况8图表 9:全球半导体市场(单位:10 亿美元)9图表 10:中国芯片设计公司数量9图表 11:中国大陆集成电路设计产业销售收入10图表 12:IC 设计板块重点公司年报表述11图表 13:国产替代 IC 设计全景图12图表 14:半导体上下游产业链,以及半导体材料在产业链所处位置14图表 15:半导体材料分类14图表 16:晶圆制造过程所需材料15图表 17:2013-2018 年全球半导体市场销售规模(亿美元)15图表 18:2016-2020 年我国半导体材料市场规模(亿美元)15图表 19:晶圆制造材料市场规模(亿美元)16图表 20:全球半导体材料销售额分布16图表

4、 21:2012-2017 年我国占半导体制造材料国产化情况(%)17图表 22:半导体材料国产化进程17图表 23:半导体封装材料进出口额(万美元)18图表 24:半导体封装材料进出口量(吨)18图表 25:半导体封装材料进口单价情况18图表 26:CMP 成本拆分19图表 27:全球 CMP 材料市场规模情况(亿美元)19图表 28:我国 CMP 材料市场规模情况(亿元)19图表 29:全球 CMP 抛光垫市占率20图表 30:2019 年全球 CMP 抛光液市占率20图表 31:电子特气下游应用情况21图表 32:气体按纯度分类21图表 33:电子特气按用途分类22图表 34:半导体硅片

5、技术演变史23图表 35:全球各类型半导体硅片出货面积占比23图表 36:12 寸晶圆全球产能及需求对比表23图表 37:较大尺寸晶圆具备更高的理论生产效率24图表 38:8 英寸及 12 英寸理论成本变化情况24图表 39:全球半导体硅片市场规模(亿美元)24图表 40:全球半导体硅片出货面积(百万平方英寸)24图表 41:中国大陆半导体硅片市场规模(亿美元)25图表 42:全球硅片市场竞争格局及市占率25图表 43:半导体硅片行业主要企业经营情况26图表 44:全球半导体光刻胶及配套试剂市场规模26图表 45:中国半导体光刻胶及配套试剂市场规模26图表 46:光刻胶主要生产企业27图表 4

6、7:国内半导体产品结构27图表 48:全球半导体设备销售额(十亿美元)28图表 49:全球半导体设备销售额(十亿美元)28图表 50:半导体设备市场增速周期性29图表 51:海外半导体设备龙头营业收入增速跟踪29图表 52:海外半导体设备龙头 GAAP 净利润(百万美元)30图表 53:晶圆代工企业资本开支(百万美元)30图表 54:全球半导体资本开支(百万美元)31图表 55:100K 产能对应投资额要求(亿美元)31图表 56:半导体制造领域典型资本开支分布32图表 57:全球半导体设备按工艺流程划分(百万美元)32图表 58:全球半导体前道设备划分(百万美元)33图表 59:全球半导体测

7、试设备划分(百万美元)33图表 60:集成电路前道工艺对应设备34图表 61:AMAT、LAM、TEL 主导大部分前道工艺34图表 62:全球半导体设备厂商营业收入排名(百万美元)35图表 63:五大设备厂商行业格局(百万美元)35图表 64:国内晶圆厂投资规模(亿元)(20202022 年为预测数据) 36图表 65:国产设备替代进程36图表 66:全球半导体前道设备销售区域划分37图表 67:国内晶圆厂内资投资需求(亿元)37图表 68:国内晶设备厂商空间测算(亿元)37图表 69:全球封测企业市占率38图表 70:全球封测行业市场规模(亿美元)39图表 71:2018 年封测厂商地域分布

8、情况39图表 72:2018 年全球 Top25 封测厂商排名情况40图表 73:封测行业利润率及费用41图表 74:全球主要封测工厂所在地41图表 75:RF SiP 封装快速增长42图表 76:射频前端模组结构42图表 77:高通首款 AiP 产品 QTM05243图表 78:三星 S10 5G 版本用到三个 QTM052 模组43一、中芯国际上市,材料设备链国产替代加速中芯国际回归 A 股,国产晶圆制造崛起。中芯国际公布将于科创板上市,拟发行 16.86 亿股募集 200 亿,国产晶圆制造龙头强势回归 A 股,募集资金主要投资于:(1)40%用 于投资 12 英寸 SN1 项目(中芯南方

9、一期);(2)20%用于公司现金及成熟工艺研发项目 的储备资金;(3)40%用于补充流动资金。图表 1:募集资金用途(单位:万元)序号项目名称募集资金投资额拟投入资金比例112 英寸芯片 SN1 项目800,000.0040.00%2先进及成熟工艺研发项目储备资金400,000.0020.00%3补充流动资金800,000.0040.00%合计2,000,000.00100.00%资料来源:中芯国际招股说明书,中芯国际在国内芯片产业链中占有举足轻重的地位。公司不断加速技术研发,建立关键平台和战略联盟,致力于成为世界一流的主流代工厂。公司提供一站式服务,除集成电 路晶圆代工外,在设计服务与 IP

10、 支持、光掩膜制造、凸块加工及测试方面提供完备配套 服务,先进程度国内领先,涵盖绝大部分下游应用。图表 2:中芯国际重要的产业链地位图表 3:中芯国际一站式的解决方案 资料来源:公司官网、资料来源:公司官网、中国是全球最大的芯片市场,中芯国际来自本土客户收入迅猛增长。根据 gartner,中国半导体市场占比从 2000 年的 7%预计提升到 2020 年的 50%,成为全球最大的芯片市 场。20112020 年中国本土设计公司的复合增长率为 24%,远高于全球平均的 4%。 20112019 年中芯国际来自于中国本土客户的收入复合增长高达 20%,持续受益于本 土市场的兴起与开拓。图表 4:中

11、国芯片设计成长率(单位:十亿美元)图表 5:中芯国际来自本土客户收入迅猛增长(百万美元)资料来源:公司官网、资料来源:公司官网、14nm FinFEF 量产,12nm 有望逐渐试产。中芯国际 14nm FinFET 工艺的实现也标志 着公司下游应用将迈进 5G、物联网、车用电子、高性能计算等领域。预计年底产能达到 1.5 万片/月。公司 14nm 产品覆盖通讯、汽车等领域,并基于 14nm 向 12nm 延伸,启 动试生产,目前进展良好。对于应用端,计划未来按三阶段进行推进:一阶段,聚焦高 端客户,多媒体应用;二阶段,聚焦中低端移动应用,并在 AI、矿机、区块链等应用有 所准备;三阶段,发展射

12、频应用。14nm 量产之后,N+1/N+2 更值得期待。预计随着 14nm 产能扩充,占比有望持续提 高,计划年底产能扩到 1.5 万片/月。N+1 新平台开始有客户导入,研发投入转换率加快 提高。N+1 相比于 14nm,性能提升 20%、功耗降低 57%、逻辑面积降低 63%,意味 着除了性能,其他指标均与 7nm 工艺相似,N+2 则有望在此基础上将性能提升至 7nm 水平。图表 6:中芯国际与台积电量产制程代际差(20202021 为预期情况)资料来源:公司官网,持续关注中国“芯”阵列核心标的,如晶圆代工、封测、IP 授权及设计服务、设备材料 等国产化机会。随着中芯国际即将于科创板上市

13、,A 股国产半导体家族将再得一名大将。 随着当前国产半导体板块的日渐完善,我们已经看到从 IP 授权及设计服务、设计、晶圆代工、封测、设备、以及材料多领域的不同程度的国产化出现。图表 7:中国“芯”阵列资料来源:国盛电子整理、中芯国际火速过会,科创板登陆在即;长存二期(产能从 50K 到 100K)宣布启动, 64 层稳定生产,128 层成功研制。20202022 年内资晶圆厂每年规划投资金额均超千 亿,后续有望加大国产设备、材料、OSAT 链条的扶持力度。在国产替代趋势下,目前产业跟踪来看代工、封装、测试以及配套设备、材料已经开始实质性受益,整体实力得 到显著提升。战投基金募集成立,国内半导

14、体产业链凝聚整合。聚源芯星基金募集认缴规模为 23.05 亿元,将作为战略投资者认购中芯国际在科创板 IPO 发行的股票。基金管理人为中芯聚 源,依托团队专业优势和产业资本背景优势,投资领域涵盖 IC 设计、半导体材料和装备、 IP 及相关服务。参与该战投基金的合伙人包括中芯聚源、上海新阳、中微公司、上海新 晟、澜起科技、中环股份、韦尔股份、汇顶科技、聚辰股份、安集科技、全志科技、盛 美半导体、徕木股份、至纯科技、江丰电子等。图表 8:聚源芯星基金认缴情况合伙人名称认缴出资额(人民币万元)合伙人类型中芯聚源股权投资管理(上海)有限公司500普通合伙人上海新阳半导体材料股份有限公司30,000有

15、限合伙人中微半导体设备(上海)股份有限公司30,000有限合伙人上海新昇半导体科技有限公司20,000有限合伙人澜起投资有限公司20,000有限合伙人天津中环半导体股份有限公司20,000有限合伙人上海韦尔半导体股份有限公司20,000有限合伙人深圳市汇顶科技股份有限公司20,000有限合伙人聚辰半导体股份有限公司10,000有限合伙人安集微电子科技(上海)股份有限公司10,000有限合伙人珠海全志科技股份有限公司10,000有限合伙人盛美半导体设备(上海)股份有限公司10,000有限合伙人上海徕木电子股份有限公司10,000有限合伙人上海至纯洁净系统科技股份有限公司10,000有限合伙人宁波

16、江丰电子材料股份有限公司10,000有限合伙人资料来源:徕木股份公司公告、设备厂商国产替代明显加速。全球半导体设备市场约 500600 亿美元,大陆占比持续 提高。中微、北方华创在设备领域持续放量,武汉精鸿检测设备落地、上海精测膜厚设 备突破。根据长存 20H1 的订单,各品类出货量占比程度看,刻蚀(中微 26%、北方华创 9%)、薄膜(北方华创 16%、沈阳拓荆 5%)、清洗(盛美 19%)、热处理(北方华 创 35%),国产替代比率已经实现较大提升。国产替代红利加持材料空间广阔。全球半导体材料市场超过 500 亿美元,大陆占比超过 20%,且增速在不断提升。硅片、光刻胶、CMP 抛光材料等

17、材料为最上游环节,国产 替代才刚刚开始,未来存在巨大空间。20Q1 可以看到材料板块部分公司通过持续的技术、产品、客户等方面的攻关,开始逐步实现营收上的突破,看好国产供应商未来较快 发展的实现。二、需求旺盛,设计、IP 产业蓬勃发展根据 IBS 报告,中国在全球半导体市场规模中占比超过 50%,并呈持续扩大趋势。2019 年中国半导体市场规模为 2122 亿美元,占全球市场的 52.93。预计到 2030 年,中国 半导体市场规模将达到 6212 亿美元,占全球市场高达 59.01,其中中国半导体市场的 年均复合增长率达 10.26%。图表 9:全球半导体市场(单位:10 亿美元)资料来源:芯

18、原股份招股书、IBS、随着中国芯片制造及相关产业的快速发展,本土产业链逐步完善,为中国的初创芯片设 计公司提供了国内晶圆制造支持,加上产业资金和政策的支持,以及人才的回流,中国 的芯片设计公司数量快速增加。图表 10:中国芯片设计公司数量资料来源:芯原股份招股书、ICCAD、我国的集成电路设计产业已成为全球集成电路设计产业的新生力量。从产业规模来看,我国大陆集成电路设计行业销售规模从 2013 年的 809 亿元增长至 2018 年的 2,519 亿 元,年均复合增长率约为 25.50%。图表 11:中国大陆集成电路设计产业销售收入资料来源:芯原股份招股书、中国半导体协会、国产替代历史性机遇开

19、启,2019 年正式从主题概念到业绩兑现、2020 年有望加速。 逆势方显优质公司本色,为什么在 2019 年行业下行周期中 A 股半导体公司迭超预期, 优质标的国产替代、结构改善逐步兑现至报表是核心原因。研发转换效率是科技企业之本,这一点在轻资产运营、下游创新需求迭代快的 IC 设计 公司上体现的尤为明显。我们详细梳理各家公司财报,可以发现韦尔股份、兆易创新、圣邦股份、卓胜微、乐鑫 科技、澜起科技、景嘉微、汇顶科技等一批优质公司在新产品、新技术工艺、市场份额 以及客户方面取得重大突破。图表 12:IC 设计板块重点公司年报表述业务进展描述新工艺表述新产品表述份额表述1、65nm 向 55nm

20、节点升级;NOR 出货累计超 兆易2、SLC NAND 推100 亿颗,MCU 客创新进 24nm;户数突破 2 万家3 、 MCU 制程 40nm 研发中;1、NOR 市占率 14%站上1、NOR:高速 4 通道新品、8 通道 GD25LX;全球前四;2、NAND:1Gb 到 8Gb 覆盖主流应用;2 、 MCU 大 陆 份 额 超 过3、MCU:RISC-V 核新品、M23 内核新品10%;(面向光模块、基站、光纤系统等)3、思立微指纹识别芯片市4、进军 19nm 制程 DRAM;占率 9%+,全球第三目前公司设计业务主要分为两大业务 体系,分别为图像传韦尔 感器产品和其他半股份导体器件产

21、品(包括分立器件、PMIC、 射频等)。1、CIS:在手机市场推出了 0.8um3200 万 像素、4800 万像素及 6400 万像素的产品, 并对公司既有产品进一步升级换代; 2、射频:在 RFSwitch、Tuner、LNA 等产 品领域研发出了具有市场竞争优势的成 果;CIS 业务份额位居全球第 三;1、制造:0.18um新一代 BCD 工艺 1、信号链新品:高性能运算放大器、高压 平台;比较器、高保真音频驱动器、高速模拟开 2、封测:WLCSP、 关及接口电路等;16 大类 1400 余款圣邦 产品,较上年增加约股份300 款SC70 等 比 例 提升; 3、技术方向:低 功耗、高精

22、度低 噪放、高效率 PMIC;2、电源管理类新品:AMOLED 显示电源芯片、微功耗 LDO、高效低功耗 DC/DC 转换 器、7A 大电流升压转换器、锂电池充电及 保护管理芯片、OVP、马达驱动芯片以及负载开关等;成为华为合格供应商,并向华为提供LNA 和 Switch;与 卓胜高通达成合作意向, 微射频开关产品已通过高通的小批量试 产验证,正式进入量 产。1、率先采用 12 寸 65nm RF SOI 工艺; 2、新一代锗硅工 艺低噪放;5G 制式 sub-6GHz 频段射频开关和低噪声 放大器新产品,同时射频模组的开发取得 突破;具体新品包括:SAW、GPS 滤波器、WiFi 滤波器、D

23、iFEM(射频滤波器分集接收模 组)、LFEM(低噪放滤波器集成模组)、 LNA bank 等新品1、内存接口芯片:第一子代 DDR5 RCD 及DB 芯片、以及 DDR5 新品(SPD/PMIC/TS)澜起 科技的工程样片的流片工作;公司 DDR 系列内存接口芯2、津逮服务器:公司已于 2019 年 5 月具片已成功进入国际主流内备批量供货能力,已有联想、长城等数家存、服务器和云计算领域,服务器 OEM 厂商客户;并逐步占据全球市场的重3 、新品方面,公司也已完成 PCIe 4.0要份额。Retimer 芯片的工程样片的流片等;4、此外也在布局 PMIC、温度传感器、串 行检测等产品;1、J

24、M7200 已完成与龙芯、飞腾、麒麟软景嘉 件、国心泰山、道、天脉等国内主要的 CPU微和操作系统厂商的适配工作,与中国长城、超越电子等十余家国内主要计算机整机厂 商建立合作关系并进行产品测试; 2、在消费类芯片领域,公司成功开发了通 用 MCU 芯片、 BLE 低功耗蓝牙芯片、 Type-C&PD 接口控制芯片等通用芯片;公 司 现 已 发 布ESP8089、ESP8266、ESP32 以乐鑫 科技及 ESP32-S 四个系列。自 ESP32 系列 之后,新增蓝牙和 AI 算法功能,芯片 产品向 AIoT 领域发展。1、正式发布 ESP32-S2 新品,集成 RISC-V在 Wi-Fi MC

25、U 领域市场份协处理器,大幅提升外接内存、安全性;额预计保持在 30%左右,2、完善开发生态,更新物联网开发系统高于其他同行业公司,连续ESP-IDF , 同 时 发 布 音 频 开 发 框 架三年排名第一。ESP-ADF;面向未来,公司战略发展目标是成长为 一家综合型的 IC 设汇顶计商,围绕“物理感科技 知、信息处理、无线 传输、安全”四大领 域构建 IoT 综合平 台。1、屏下指纹芯片持续推出新品;2、TWS 耳机入耳检测与触控二合一产品3、心率检测产品;4、安全 MCU+活体指纹的创新智能门锁方 案;资料来源:各公司年报,2019 年是国产供应链重塑第一年,2020 年将进入加速阶段。

26、我们认为国产供应链重 塑将继续延循两条主线展开:国内芯片生态圈将开始壮大发展,在当前国产替代需求下,具备核心研发能力的公 司将会获得更多的试错和产品迭代机会;整个产业链还有制造、封测、材料、设备等众多环节,国内产业链重塑,为国内半导体打造更加安全、可靠、先进的发展环境,相关的逻辑芯片代工、封测、设备、 材料等厂商有望深度受益;图表 13:国产替代 IC 设计全景图资料来源:整理国内半导体设计领域崛起一批具有较强实力的企业:公司本身研发实力过硬,研发转换效率高:主要关注公司研发投入的成果转换,重点关注研发投入-营收/产品品类扩张速度的匹配情况,去年圣邦股份、汇顶科技以 及今年韦尔股份的营收扩张与

27、毛利改善均属于此类;具备可见、可触及的下游广阔空间,或者能通过品类扩张切入更大的市场空间:全球 800-1000 亿美金的 DRAM、500-700 亿美金的 NAND Flash、500-600 亿美金的 模拟芯片、200 亿美金的 CIS 芯片有望深水养大鱼,出现大体量龙头公司;2020 年 CIS、射频、存储、模拟等国产化深水区力度有望加速。几个核心赛道国内逐渐涌现出一批优秀企业:1、华为核心五大件 CPUGPUADDA存储射频器件中,CPUGPUADDA 海思已经进行 多年研发、产品逐步落地自强; 2、存储:用量最大,百亿美金采购级别、预计三年后翻倍,目前主流存储 DRAM 扶持 合肥

28、长鑫(兆易创新)、NAND 扶持长江存储,利基型存储兆易创新、ISSI(北京君正拟 收购)已经切入预计马上放量; 3、FPGA:紫光同创(紫光国微),安路信息(士兰微入股);4、模拟芯片及传感器:韦尔股份,圣邦股份,矽立杰,杰华特,3PEAK;5、功率半导体:闻泰科技,士兰微,扬杰科技;6、射频芯片:三安光电,卓胜微,山东天岳;7、阻容感:风华高科,三环集团,顺络电子;8、代工及封测:中芯国际,长电科技,华天科技,通富微电;9、连接器及天线:立讯精密、意华股份、硕贝德、电连技术;10、环形器:天和防务;三、材料国产化进度超预期,相关龙头加速突破3.1 中国需求巨大,国产替代揭开序幕国产替代红利

29、加持材料空间广阔。全球半导体材料市场超过 500 亿美元,大陆占比超过20%,且增速在不断提升。硅片、光刻胶、CMP 抛光材料等材料为最上游环节,国产替代才刚刚开始,未来存在巨大空间。20Q1 可以看到材料板块部分公司通过持续的技 术、产品、客户等方面的攻关,开始逐步实现营收上的突破,看好国产供应商未来较快 发展的实现。在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺 寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技 术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加到 61 种。伴随微纳制造工艺不断发展,对

30、材料的纯度,纳米精度尺寸控制、材料的功 能性等都提出了严苛的需求。图表 14:半导体上下游产业链,以及半导体材料在产业链所处位置资料来源:公开资料整理,图表 15:半导体材料分类资料来源:赛瑞研究,简单来看,半导体制造所需要的材料主要分布在以下四步之中:1. 掺杂/热处理:溅射靶材,湿法化学品、化学气体,CMP 抛光垫和抛光液;2. 蚀刻/清洁:掩模/光罩,溅射靶材,CMP 抛光垫和抛光液;3. 沉积:化学气体,CMP 抛光垫和抛光液;4. 光刻:掩模/光罩、光刻胶、光刻胶显影液、熔剂、剥离剂。图表 16:晶圆制造过程所需材料资料来源:Horizon Insights,半导体材料可分为晶圆制造

31、材料和封装材料,晶圆制造材料是半导体材料市场的主力军。根据 wind 数据,2018 年,全球半导体材料销售规模为 519.4 亿美元,同比增长 10.7%, 其中晶圆制造材料及封装材料销售额分别为 322 亿美元和 197 亿美元,同比增长 15.9% 和 3.1%。根据 SEMI 统计数据,我国半导体材料市场规模为 85 亿美元,同比增长 12.3%,其中晶 圆制造材料及封装材料市场规模为约 28.2 亿美元和 56.8 亿美元。未来 2 年我国半导体材料市场规模将持续高速增长,预计 2020 年我国半导体材料市场规模达 107.4 亿美元, 其中晶圆制造材料市场规模达 40.9 亿美元,

32、2016-2020 年 CAGR 为 18.3%;封装材料 市场规模达 66.5 亿美元,2016-2020 年 CAGR 为 9.18%。图表 17:2013-2018 年全球半导体市场销售规模(亿美元)图表 18:2016-2020 年我国半导体材料市场规模(亿美元)资料来源:SEMI、资料来源:SEMI、晶圆制造材料包括硅片、电子特气、光掩模、光刻胶和抛光材料等材料,其中占比最高 的是硅片,预计到 2020 年,将占晶圆制造材料的约 36%,抛光材料的占比不断提高, 预计到 2020 年抛光材料占比约 7%,规模将达到 23.5 亿美元,市场空间巨大。中国CMP 抛光材料的市场规模在 2

33、018 年达到 28 亿元,2014 年至 2018 年年复合增长率为9.9%,随着半导体材料国产化的加速推进,我国 CMP 抛光材料市场有望实现稳健增长。图表 19:晶圆制造材料市场规模(亿美元)实际值预测值200192020硅片76.592.5121119.2123.6电子特气36.338.742.74243.7光掩模33.237.540.441.442.9湿法化学品15.918.821.62223.5光刻胶14.51617.316.817.4光刻胶配套化学品19.121.122.822.223CMP 抛光材料16.718.521.821.723.5溅射靶材6.77.

34、47.87.77.9其他29.631.432.833.434.3资料来源:SEMI,产业持续东移,中国大陆增速第一。从占比来看,半导体材料市场中,中国台湾依然是 半导体材料消耗最大的地区,全球占比 22.04%。中国大陆占比 19%排名全球第三,略 低于 19.8%的韩国。然而中国大陆占比已实现连续十年稳定提升,从 2006 年占全球比 重 11%,到 2018 年占比 19%。产业东移趋势明显。图表 20:全球半导体材料销售额分布资料来源:国盛电子根据 Wind 数据整理,半导体材料国产化率仍待转化。根据集成电路材料和零部件产业技术创新战略联盟的调研数据,2016 年国内晶圆制造材料企业用于

35、半导体制造的产品销售收入仅 69.5 亿元, 相对于国内晶圆制造材料市场需求的比例约 20%,国产化比例较低。在国家产业政策大力扶持和国内半导体市场稳定增长等利好条件下,特别是国家“02 专 项”等专业化科研项目的培育下,国内半导体材料领域将涌现更多具有国际竞争力的公 司和产品,在更多关键半导体材料领域实现进口替代,打破国外厂商的垄断。图表 21:2012-2017 年我国占半导体制造材料国产化情况(%)资料来源:中国半导体行业协会、集成电路材料和零部件产业技术创新战略联盟,半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片,每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反

36、应过程,半导体材料的应用决定了摩 尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同半导体制造材料的技 术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。图表 22:半导体材料国产化进程资料来源:SEMI,从技术层面出发再至半导体封装材料进出口金额及量(由于缺乏晶圆制造数据,故以封 装材料为例说明),可以看到中国对于半导体封装材料进口量的需求巨大,同时再对比进 出口单价情况,从 2017 年开始计算,出口单价仅为进口单价的约为 60%,价格悬差巨 大,也再次反映了中国虽然对于半导体材料的需求巨大,但是由于目前技术能力有限所 致进出口贸易悬差巨大,也因此存在巨大的国产替代空间。图

37、表 23:半导体封装材料进出口额(万美元)图表 24:半导体封装材料进出口量(吨)3000250020000半导体封装材料进口额半导体封装材料出口额半导体封装材料进口量半导体封装材料出口量250020000资料来源:Wind,资料来源:Wind,图表 25:半导体封装材料进口单价情况资料来源:国盛电子根据 Wind 数据整理,3.2 CMP 受益半导体市场及制程发展,市场持续增长作为半导体制造中必不可少的 CMP 环节,CMP 材料在晶圆制造材料成本之中也占据了 约为 7%,而在这其中抛光液、抛光垫则占据了 CMP 成本的分别约 49%、33%。图表

38、 26:CMP 成本拆分4%抛光液5%9%抛光垫49%调节器清洁剂33%其他资料来源:SEMI,CMP 抛光材料主要包括抛光液、抛光垫、调节器、清洁剂等,其市场份额分别占比 49%、 33%、9%和 5%。至 2018 年市场抛光液和抛光垫市场分别达到了 12.7 和 7.4 亿美元。图表 27:全球 CMP 材料市场规模情况(亿美元)图表 28:我国 CMP 材料市场规模情况(亿元)资料来源:Cabot Microelectronics 官网公开资料、资料来源:中国产业信息网、抛光垫市场集中度较高,陶氏化学市场份额约 79%,垄断了全球大部分抛光垫市场,Cabot Microelectron

39、ics 市占率约 5%,Thomas West、FOJIBO、JSR 等市占率合计约 7%。 中国的鼎龙股份自 2013 年开始进行 CMP 抛光垫研发,并被纳入了国家“02 专项”,承 担起中芯国际的 CMP 抛光片产品的研发任务,2019 年,公司抛光垫实现收入 1.23 亿元, 成为国内首家 CMP 抛光垫实现量产的公司,目前公司 8 英寸抛光垫已成为国内主流晶圆 厂的重点抛光垫供应商,12 英寸抛光垫通过中芯国际的认证。图表 29:全球 CMP 抛光垫市占率JSR, 1% 其他, 9%FOJIBO, 2%Thomas West, 4%Cabot Microelectronic s, 5

40、%陶氏化学, 79%资料来源:Cabot Microelectronics,CMP 抛光液市场长期以来被美国和日本企业垄断,包括美国的 Cabot Microelectronics、Versum 和日本的 Hitachi Chemical、Fujimi 等,其中 Cabot Microelectronics 在全球抛光 液市场中市占率最高,2000 年市占率达 80%,随着市场竞争程度愈发激烈,抛光液市 场有分散化的趋势,到 2019 年,Cabot Microelectronics 占比约 34%,Hitachi Chemical 的市占率在 15%左右,Fujimi 和 Versum 的市

41、占率各约 10%,中国的安集科技 CMP 抛 光液 2019 年实现收入 2.36 亿元,市占率约 2.5%。图表 30:2019 年全球 CMP 抛光液市占率安集科技, 2.5%陶氏化学, 6%Versum, 10%其他, 21.4%Cabot Microelectronics, 34.1%Hitachi ChemicalFujimi, 11%(日立化成),15%资料来源:Cabot Microelectronics,整理受益半导体市场增长及半导体制程不断的升级,CMP 市场有望持续增长。随着半导体市场不断的增长,作为核心配套材料的 CMP 抛光垫有望伴随半导体全市场的复苏及增长 齐头并进;

42、另一方面根据 Cabot Microelctronics 的测算,无论是 Nand 从 2D 向 3D 升级, 又或是逻辑芯片制程的不断提高,都将大幅提高晶圆制造中抛光工序的次数。举例说明: 28nm 逻辑芯片所需抛光次数约为 400 次,但至 5nm 逻辑芯片,其抛光次数已超过 1200 次。无论是半导体市场带动的需求,亦或者是芯片制程不断提高所带动的 CMP 价值量的 提高,都将给 CMP 市场提供稳定的持续增长动力。鼎龙股份主营业务经营改善,垂直整合稳占龙头之位;CMP 抛光垫业务驱动新成长。 鼎龙股份上市十多年来在完成“彩粉+硒鼓+芯片”打印耗材全产业链整合之后,虽然在2018 年及

43、2019 年由于行业竞争致使产品价格下降。随着公司对该业务行业的垂直化整 合后,公司对于主营业务的经营有望改善,且实现未来的稳健增长。同时公司积极开拓 CMP 抛光垫业务,成功实现国产化突破后,有望成为公司成长新动力。3.3 电子特气受益于下游扩产带动,国产化进程开启电子特气是超大规模集成电路、平面显示器、化合物半导体器件等电子工业生产中不可 或缺的基础原材料,广泛应用于薄膜、刻蚀、掺杂、气相沉积、扩散等工艺。据 Linx Consulting 估计,2018 年全球电子特气市场规模接近 34 亿美元,同比增长 10%。随着 半导体行业的快速发展,电子气体的品种、数量不断增加,刻蚀及化学沉积等

44、下游领域 对电子特气的质量和纯度的要求也在不断提高。图表 31:电子特气下游应用情况平面显示器, 20%半导体, 70%化合物半导体, 6%PV, 4%资料来源:Linx Consulting,与传统工业气体相比,用于各种生产工艺的气体,尤其是与硅片直接接触的气体,由于 具有高纯度和高危险性的特点,通常统称为高纯特种气体或高纯电子级气体。由于在化 学气相沉积、刻蚀、离子注入、外延等前段制造工艺中,气体中的有害杂质浓度对芯片 的成品率有着直接影响,目前,大部分的高纯特种气体纯度应达到 99.99%(4N)以上(常用“xN”表示纯度,x 是大于 1 的正整数,N 是英文单词 Nine 的首字母,例如,“5N” 表示 99.999%,“

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2020中国半导体芯片封测材料行业国产替代需求市场产业研究报告(43页).docx)为本站 (风亭) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部