上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

电子行业深度:半导体设备&材料国产加速-220622(103页).pdf

编号:79134 PDF 103页 8.20MB 下载积分:VIP专享
下载报告请您先登录!

电子行业深度:半导体设备&材料国产加速-220622(103页).pdf

1、 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 证券研究报告 | 行业深度 2022 年 06 月 22 日 电子电子 半导体设备半导体设备&材料:材料:国产国产加速加速 全球领先的晶圆代工厂将在全球领先的晶圆代工厂将在 20212023 年之间进行大规模半导体设备投资。年之间进行大规模半导体设备投资。根据 IC Insights,全球代工厂资本开支约占半导体总体的 35%,头部代工厂2022 年资本开支规划进一步提升。台积电 2021 年 CapEx 300 亿美金(用于N3/N5/N7 的资本开支占 80%),预计 2022 年将提升至 400-440 亿美金;联电2021 年 Cap

2、Ex 18 亿美金,预计 2022 年翻倍达到 36 亿美金(其中 90%将用于12英寸晶圆);GlobalFoundries 于 2021年 IPO后资本开支大幅提升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金,预计 2022 年超过40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。 2021 年全球半导体设备市场规模创年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第亿美元新高,大陆首次占比全球第一

3、。一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,创历史新高。大陆设备市场在 2013年之前占全球比重低于 10%,20142017年提升至 1020%,2018 年之后保持在 20%以上,份额呈逐年上行。2020-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次排市场全球首位,占比28.9%,2021达到 296.2亿美元,同比增长58%。展望 2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。 全球设备五强占市场主导角色全球设备五强占市场主导角色,在手订单饱满,供应链限制延续在手订单饱满,供应

4、链限制延续。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强AMAT、LAM、TEL。此外光刻机龙头ASML市占率超80%;过程控制龙头KLA市占率 50%。ASML、AMAT、LAM、TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。海外龙头一季度受供应链、零部件等影响交期延长,毛利承压,但目前在手订单饱满,需求乐观,展望下半年增长强劲。 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备收入、利润快速增长,国产替代持续深化。北方华创产品布局广泛,刻蚀、沉积、炉管持续放量;中微公司 CCP 打入 TSMC,ICP 加速

5、放量,新款 MOCVD 设备 UniMax 2022Q1 订单已超 180 腔;拓荆科技 PECVD 已用于国内知名晶圆厂 14nm 及以上制程产线,累计发货超 150 台;芯源微新签订单结构中前道产品占比大幅提升;精测电子产品迭代加速,OCD、电子束进展超预期;华峰测控订单饱满新机台加速放量;设备核心公司 2022Q1 营收总计 72.7 亿元,yoy+55%;扣非归母净利润 10.7 亿元,yoy+83%。行业持续高速增长,国产替代空间快速打开,国内核心设备公司成长可期。 半导体材料供应受限,国产替代进程加快。半导体材料供应受限,国产替代进程加快。2021 年全球半导体材料市场规模创643

6、 亿美金新高,中国大陆需求占比 18.6%。贸易摩擦、自然灾害导致半导体原材料供应受限,致使如光刻胶、CMP 材料及电子特气等外资厂商高市占率产品存在的断供可能性,进一步推动国产材料需求及国产替代化进度。随着技术及工艺的推进以及中国电子产业链逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。 各类材料持续持续突破,国产替代空间广阔。各类材料持续持续突破,国产替代空间广阔。我们选取 10 家代表性公司,2021年电子材料营收综合约为 98 亿元人民币,考虑到其他未收录的非上市公司及上市公司,乐观假设中国电子半导体材料营收规模 150 亿元(更多的为中低端产品,高端产品仍然在持

7、续突破及替代),在当前 643 亿美元的全球市场之中也仅仅 4%不到的替代率;在中国所需的产值约 119 亿美元的市场需求中,也仅占19%,因此可以看到中国无论是在中国市场或者全球市场之中,均有着巨大的国产化空间。 重点推荐:重点推荐:设备设备:北方华创、芯源微、新益昌、华海清科、拓荆科技、华峰测控、中微公司、长川科技、盛美上海、精测电子、至纯科技、万业企业。材料:材料:彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、雅克科技、立昂微、华特气体、金宏气体、晶瑞股份、南大光电。 风险提示风险提示:国产替代进展不及预期、全球贸易纷争影响、下游需求不确定性国产替代进展不及预期、全球贸易纷

8、争影响、下游需求不确定性。 增持增持(维持维持) 行业行业走势走势 作者作者 分析师分析师 郑震湘郑震湘 执业证书编号:S0680518120002 邮箱: 分析师分析师 佘凌星佘凌星 执业证书编号:S0680520010001 邮箱: 研究助理研究助理 刘嘉元刘嘉元 执业证书编号:S0680120120006 邮箱: 相关研究相关研究 1、 电子:政策刺激助力需求反弹,供应链预期逐步恢复2022-05-30 2、 电子:22Q1 全球视角:半导体整体供应不求,高景气延续2022-05-24 3、 电子:关注汽车电子发展机会2022-05-16 -16%0%16%32%48%64%2020-0

9、-01电子沪深300 2022 年 06 月 22 日 P.2 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 内容目录内容目录 一、半导体设备:大陆需求快速增长,国产替代加速 . 7 1.1 全球设备市场创新高,受益于资本开支提升、制程节点进步 . 7 1.2 前道设备占主要部分,测试需求高增速 . 14 1.3 全球市场受海外厂商主导,前五大厂商市占率较高 . 16 1.4 海外设备厂商在手订单饱满,供应链限制延续 . 17 1.5 国内需求爆发,国产替代空间快速打开 . 26 1.6 2022Q1 国产设备厂商营收持续高增 . 28 二、光刻机:半导体制程工艺核

10、心环节,将掩膜板图形缩小 . 32 三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加 . 36 四、薄膜设备:用于沉积物质,在设备市场占比较高 . 44 五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用 . 51 六、过程控制:制造过程的准确性检测 . 54 七、测试设备:用于测试晶圆片及成品 . 59 八、化学机械抛光:全局纳米级平坦化 . 61 九、半导体材料:晶圆厂持续扩产,材料拐点已至 . 68 9.1 晶圆代工扩产拉动材料需求持续增长 . 68 9.2 各类材料持续持续突破,业绩佐证国产替代正式开幕 . 71 十、光刻胶:产品逐步突破,国产替代已开启 . 73 十一、CMP:突

11、破重围,国产化启动 . 81 十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升 . 85 十三、电子特气:需求空间大,拉开进口替代序幕 . 94 十四、湿电子化学品:内资龙头效应显著 . 100 十五、投资建议 . 101 15.1 设备 . 101 15.2 材料 . 102 十六、风险提示 . 102 图表目录图表目录 图表 1:全球半导体设备季度销售额(亿美元) . 7 图表 2:全球半导体设备分地域季度销售额(亿美元) . 7 图表 3:中国大陆半导体设备市场规模(亿美元) . 8 图表 4:中国半导体设备市场维持高速增长 . 8 图表 5:北美半导体设备月销售额(亿美元)

12、. 8 图表 6:全球半导体月度销售额(亿美元) . 8 图表 7:全球半导体销售规模 . 9 图表 8:各机构预测 2022 年全球半导体市场增速 . 9 图表 9:半导体设备市场增速周期性 . 9 图表 10:海外半导体设备龙头季度营收跟踪(亿美元) . 10 图表 11:海外半导体设备龙头季度营收同比增速跟踪 . 10 图表 12:全球半导体资本开支(亿美金) . 11 图表 13:全球晶圆厂前道设备支出(亿美金) . 11 图表 14:全球半导体资本开支集中度持续提升 . 11 图表 15:2022 年资本开支增速较快的厂商(百万美金) . 11 图表 16:2021 年全球代工行业营

13、收份额 . 12 图表 17:七家晶圆代工企业资本开支(亿美元) . 12 图表 18:全球 12 英寸晶圆制程结构 . 13 图表 19:100K 产能对应投资额要求(亿美元) . 13 图表 20:集成电路前道工艺对应设备 . 14 图表 21:半导体测试设备应用环节 . 14 图表 22:半导体封装流程 . 14 图表 23:半导体制造领域典型资本开支分布 . 15 图表 24:2013-2018 年全球半导体设备按工艺流程划分(亿美元) . 15 2022 年 06 月 22 日 P.3 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 25:2020-2023 年全球半导体设备

14、按工艺流程划分(亿美元) . 15 图表 26:全球半导体前道设备划分(百万美元) . 15 图表 27:全球半导体测试设备划分(百万美元) . 15 图表 28:泰瑞达分业务季度营收及公司市场看法(百万美元) . 16 图表 29:AMAT、LAM、TEL 主导大部分前道工艺 . 16 图表 30:全球半导体设备厂商排名(亿美金) . 17 图表 31:五大设备厂商行业格局(百万美元) . 17 图表 32:ASML 季度营收及其增速 . 18 图表 33:ASML 季度利润率 . 18 图表 34:2022Q1 新增订单结构情况 . 19 图表 35:ASML 销售结构 . 19 图表 3

15、6:Lam Research 季度营收及增速 . 20 图表 37:Lam Research 季度利润率 . 20 图表 38:Lam Research 2022Q1 营收结构 . 21 图表 39:爱德万业绩表现(十亿日元) . 21 图表 40:KLAC 营收及增速(亿美元,%,取自然年) . 22 图表 41:KLAC 营收分业务拆分 . 23 图表 42:KLAC 营收分产品拆分 . 23 图表 43:KLA 对于 CY2022Q2 业绩预告 . 24 图表 44:泰瑞达 2022Q1 收入情况 . 24 图表 45:泰瑞达 2022Q1 业绩分业务拆分 . 25 图表 46:东京电子

16、业绩情况 . 25 图表 47:东京电子分业务情况 . 26 图表 48:国内晶圆厂投资规模(亿元) (20202022 年为预测数据) . 27 图表 49:国产设备替代进程 . 28 图表 50:设备核心公司营业收入及归母净利润(亿元) . 28 图表 51:设备核心公司毛利率 . 29 图表 52:设备核心公司研发费用(亿元) . 29 图表 53:设备核心公司经营增速 . 29 图表 54:设备核心公司预收账款/合同负债(亿元) . 30 图表 55:设备核心公司合同负债占营收比 . 30 图表 56:国内晶圆厂投建扩产计划 . 31 图表 57:光刻机技术特点 . 33 图表 58:

17、光刻机技术路径(2020 年后为预测情况) . 33 图表 59:不同产品随着制程节点提升各类光刻技术对应层数的需求比例 . 33 图表 60:光刻机技术示意图 . 33 图表 61:EUV 目标市场范围(2020 年后为预测情况) . 34 图表 62:Foundry 和 DRAM 精度仍会不断提升(2020 年后为预测情况) . 34 图表 63:两次技术分水岭奠定光刻机格局 . 34 图表 64:全球 EUV 光刻机出货量(台) . 35 图表 65:全球光刻机市场格局(百万美元) . 35 图表 66:上海微电子 600 系列光刻机 . 36 图表 67:上海微电子 600 系列光刻机

18、主要技术参数 . 36 图表 68:刻蚀的目的是把图形从光刻胶转移到待刻蚀的薄膜上 . 37 图表 69:刻蚀工艺分类 . 37 图表 70:不同刻蚀设备主要技术指标 . 38 图表 71:电容性等离子体刻蚀反应腔 . 38 图表 72:电感性等离子体刻蚀反应腔 . 38 图表 73:刻蚀类别 . 39 图表 74:多重成像技术 . 39 图表 75:电感性等离子体刻蚀反应腔 . 39 图表 76:刻蚀步骤逐渐增加(步骤数量) . 39 图表 77:干法刻蚀市场(百万美元) (20212023 年为预测数据) . 40 图表 78:刻蚀在晶圆设备市场比重提升 . 40 图表 79:全球刻蚀业务

19、收入规模分别(百万美元) . 40 图表 80:干法刻蚀市场份额 . 41 2022 年 06 月 22 日 P.4 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 81:Conductor Etch 市场份额 . 41 图表 82:Dielectric Etch 市场份额 . 42 图表 83:北方华创刻蚀设备发展进程图 . 42 图表 84:北方华创刻蚀产品介绍 . 43 图表 85:中微公司刻蚀产品线布局 . 44 图表 86:薄膜设备分类 . 45 图表 87:典型 CVD 工艺流程 . 45 图表 88:ALD 示例 . 45 图表 89:典型 CVD 工艺流程 . 46 图

20、表 90:常见的薄膜材料制备工艺 . 46 图表 91:CVD 和 PVD 技术比较 . 46 图表 92:CVD 和 PVD 技术比较示意图 . 46 图表 93:全球沉积设备市场趋势(百万美元) . 47 图表 94:2020 年沉积设备市场结构(百万美元) . 47 图表 95:CVD 市场份额 . 48 图表 96:PVD 市场份额 . 48 图表 97:北方华创 PVD 机台 eVictor AX30 Al pad PVD . 49 图表 98:北方华创 LPCVD 机台 THEORIS SN302D . 49 图表 99:拓荆科技主要产品情况 . 50 图表 100:中微公司战略规

21、划 . 51 图表 101:清洗原理 . 52 图表 102:清洗环节 . 52 图表 103:全球半导体清洗设备规模(2021-2024 位预测数据) . 52 图表 104:2020 年全球半导体清洗设备市场格局 . 52 图表 105:至纯科技主要产品 . 53 图表 106:区分过程控制(检测、测量)和 ATE(测试) (2019 年市场空间) . 54 图表 107:检测缺陷&量测尺寸 . 55 图表 108:测量、检测分类 . 55 图表 109:不同环节关键过程控制指标 . 55 图表 110:全球过程控制市场(百万美元) . 56 图表 111:过程控制在晶圆设备市场比重 .

22、56 图表 112:过程控制细分市场(百万美元) (2021 年为预测数据) . 57 图表 113:2020 年全球过程控制市场格局 . 57 图表 114:公司电子束检测设备 eViewTM 全自动晶圆缺陷复查设备 . 58 图表 115:中科飞测系列产品覆盖 IC 前道和先进封装的各种检测需求 . 59 图表 116:集成电路测试设备主要功能 . 59 图表 117:华峰测控主要产品系列 . 60 图表 118:长川科技测试机及分选机主要产品系列 . 61 图表 119:CMP 设备在芯片制造前道工艺中的环节 . 62 图表 120:CMP 抛光去除速率对比 . 62 图表 121: C

23、MP 平坦化效果图(CMOS 结构剖面图) . 62 图表 122:CMP 抛光模块示意图 . 63 图表 123:CMP 抛光作业原理图 . 63 图表 124:9-11 层金属结构 Cu CMP 的示意图 . 63 图表 125:三个不同尺寸和制程的晶圆产线项目每万片月产能对应的设备数量需求 . 64 图表 126:CMP 工艺应用到研磨头、研磨垫、研磨液 . 64 图表 127:CMP 设备的相关配套组成 . 64 图表 128:2020 年全球 CMP 设备市场区域结构 . 65 图表 129:2020 年全球 CMP 设备竞争格局 . 65 图表 130:华海清科发展历程 . 65

24、图表 131:华海清科主要产品情况 . 66 图表 132:华虹无锡 2022 年 1-5 月化学机械抛光设备采购情况 . 67 图表 133:长江存储 2019-2020 年化学机械抛光设备采购情况 . 67 图表 134:全球半导体销售市场规模 . 68 图表 135:全球半导体材料市场规模 . 68 图表 136:2020 及 2021 年分地区半导体材料市场营收(亿美元) . 68 2022 年 06 月 22 日 P.5 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 137:封装及晶圆制造材料市场规模 . 68 图表 138:半导体材料分类 . 69 图表 139:晶元制造

25、过程所需材料 . 69 图表 140:半导体原材料分布情况 . 70 图表 141:2021 年半导体材料市场按地域分布 . 70 图表 142:半导体材料国产化进程 . 71 图表 143:半导体材料公司在电子材料业务领域营收情况(亿元) . 71 图表 144:当前部分 A 股半导体材料公司在细分领域的进展及后续规划(研发费用为 2021 年数字,亿元) . 72 图表 145:光刻技术及光刻材料的发展 . 73 图表 146:正性及负性光刻胶的反应原理 . 74 图表 147:光刻胶分类 . 74 图表 148:2019-2022 全球光刻胶产业市场规模(亿美元) . 75 图表 149

26、:全球光刻胶应用份额占比 . 75 图表 150:国内光刻胶场规模 . 76 图表 151:中国半导体光刻胶及配套试剂市场规模 . 76 图表 152:国内半导体光刻胶市场规模(亿元) . 76 图表 153:中国光刻胶厂商生产结构情况 . 77 图表 154:全球光刻胶市占率情况 . 77 图表 155:全球半导体光刻胶市占率情况 . 77 图表 156:2019 年 krf 光刻胶市场占比 . 77 图表 157:2019 年 arf 光刻胶市场占比 . 77 图表 158:2019 年 g/i 线光刻胶市场占比 . 78 图表 159:光刻胶龙头专利积累 . 78 图表 160:TOK

27、产品分类(按特征尺寸). 79 图表 161:TOK KrF 正性光刻胶系列 . 79 图表 162:KrF 深紫外光刻胶系列产品 . 79 图表 163:海外龙头光刻胶产品发展历程 . 80 图表 164:CMP 抛光模块示意图 . 81 图表 165:CMP 抛光作业原理图 . 81 图表 166:CMP 示意图 . 82 图表 167:钨 CMP 流程示意图 . 82 图表 168:Poly CMP 流程示意图(Poly 为 P2) . 83 图表 169:抛光步骤随逻辑芯片技术进步增加 . 83 图表 170:9-11 层金属结构 Cu CMP 的示意图 . 83 图表 171:全球

28、CMP 材料市场规模(百万美金) . 84 图表 172:2021 年全球 CMP 材料市场规模及占比(亿美金) . 84 图表 173:抛光液主要生产企业 . 84 图表 174:抛光垫主要生产企业 . 84 图表 175:按终端应用领域分的 12 英寸硅片需求(千片/月) . 86 图表 176:全球 12 英寸抛光片及外延片需求(千片/月) . 86 图表 177:2020 年全球 8 英寸晶圆代工厂下游需求分布 . 87 图表 178:全球 8 英寸晶圆下游产能需求预测(等效 8 寸片,千片/月) . 87 图表 179:全球 8 英寸晶圆厂产能情况(千片/月) . 87 图表 180

29、:全球 8 英寸硅片季度出货预测(千片/月) . 88 图表 181:全球 12 英寸硅片季度出货预测(千片/月) . 88 图表 182:晶圆厂 12 英寸硅片库存 . 88 图表 183:全球 12 英寸硅片供需情况 . 89 图表 184:SUMCO 季度及同比增速 . 90 图表 185:SUMCO 毛利率及净利率 . 90 图表 186:信越化学电子材料季度营收及增速 . 90 图表 187:信越化学电子材料季度盈利水平及资本投资情况 . 90 图表 188:台胜科月度营收情况 . 91 图表 189:环球晶圆季度营收及同比增速 . 92 图表 190:环球晶圆盈利水平 . 92 图

30、表 191:全球硅片营收规模(亿美元,%) . 92 图表 192:全球硅晶圆出货面积(百万平方英寸,%) . 92 2022 年 06 月 22 日 P.6 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 193:不同尺寸半导体硅片的市场份额预测 . 93 图表 194:全球硅片平均单价及增速 . 93 图表 195:2016-2018 年半导体硅片厂商盈利水平快速提升 . 93 图表 196:中国台湾 12 英寸及以上硅片月度进口价格及趋势 . 94 图表 197:中国台湾 12 英寸及以上硅片进口量(万片/月) . 94 图表 198:中国台湾 8”及以上 12”(不含)以下硅片

31、进口量(万片/月) . 94 图表 199:我国电子特气市场规模(亿元) . 95 图表 200:高纯电子特气市场格局(按应用) . 95 图表 201:电子气体分类 . 95 图表 202:电子气体分种类份额占比 . 96 图表 203:电子特气在晶圆制造中的应用 . 96 图表 204:我国集成电路产业销售额 . 97 图表 205:全球各地区 OLED 产能占比情况及预测 . 97 图表 206:全球电子特气市场规模(亿美金) . 97 图表 207:全球电子气体市场规模及预测(百万美元) . 97 图表 208:我国电子特气市场规模(亿元) . 98 图表 209:我国电子气体市场格局

32、(2020 年) . 98 图表 210:公司电子特气项目建设规划 . 99 图表 211:公司电子特气产品认证情况 . 99 图表 212:2021 年至今公司披露的电子特气销售订单 . 100 图表 213:美国 SEMI 工艺化学品的国际标准等级 . 100 2022 年 06 月 22 日 P.7 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 一一、半导体半导体设备设备:大陆需求快速增长,国产替代:大陆需求快速增长,国产替代加速加速 1.1 全球设备市场全球设备市场创新高,受益于资本开支提升、制程节点进步创新高,受益于资本开支提升、制程节点进步 2021 年年全球半导体设备市场规模

33、全球半导体设备市场规模创创 1026 亿美元新高亿美元新高,大陆,大陆首次占比全球第一。首次占比全球第一。根据SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新高。大陆设备市场在 2013 年之前占全球比重为 10%以内,20142017 年提升至1020%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,2021达到296.2亿美元,同比增长58%,占比28.9%。展望2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保

34、持较高比重。 图表 1:全球半导体设备季度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 图表 2:全球半导体设备分地域季度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 -30%-20%-10%0%10%20%30%40%50%60%70%05003----062022-03全球半导体设备销售额全球半导体设备销售额yoy大陆占比0500300其他欧洲韩国中国台湾日本北美中国大陆 2022 年 06 月 22 日 P.8 请仔细阅读本报

35、告末页声明请仔细阅读本报告末页声明 图表 3:中国大陆半导体设备市场规模(亿美元) 图表 4:中国半导体设备市场维持高速增长 资料来源:日本半导体制造装置协会,国盛证券研究所 资料来源:日本半导体制造装置协会,国盛证券研究所 北美半导体设备厂商月销售北美半导体设备厂商月销售额额 2021 年以来稳站年以来稳站 30 亿亿+美金美金。通过复盘半导体行业景气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021年 1 月,北美半导体设备厂商月销售额首次突破了 30 亿美金关口,创历史新高,达到了 30

36、.4 亿美金。此后月度销售额逐季创新高,至 12 月份销售额达到 39.2 亿美金,同比增长 46%。与此同时我们看到全球半导体销售市场自 2021 年 4 月以来连续 12 个月同比增速超过 20%,2022 年 3 月,全球半导体销售额达到 505.8 亿美金,同比增长23.0%,展望 2022全年,从各机构当前预测平均值来看,预计2022年全球半导体市场仍将保持 10%以上同比增长。 图表 5:北美半导体设备月销售额(亿美元) 图表 6:全球半导体月度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 -100%-50%0%50%100%150%20

37、0%250%300%350%05003003502005 2007 2009 2011 2013 2015 2017 2019 2021中国大陆半导体设备市场yoy-100%-50%0%50%100%150%200%250%300%350%中国半导体设备增速全球半导体设备增速-40.0%-20.0%0.0%20.0%40.0%60.0%80.0%05540452017-01 2017-09 2018-05 2019-01 2019-09 2020-05 2021-01 2021-09北美半导体设备制造商出货额yoy-25%-20%-15%-10%-5

38、%0%5%10%15%20%25%30%005006002017-01 2017-12 2018-11 2019-10 2020-09 2021-08全球半导体月度销售额yoy 2022 年 06 月 22 日 P.9 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 7:全球半导体销售规模 图表 8:各机构预测 2022 年全球半导体市场增速 资料来源:SIA,国盛证券研究所 资料来源:各机构官网,国盛证券研究所 半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。2017 年,存

39、储厂商的大幅资本开支推动半导体设备迎来巨大需求,且这一势头一直延续到 2018年上半年。但随后产能过剩致使存储价格走低,导致 DRAM和 NAND厂商纷纷推迟设备订单。存储产能过剩一直持续到 2019 年上半年,同时上半年整体半导体行业景气度不佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆厂陆续调高资本开支大幅扩产,2019 年全年半导体设备需求同比仍回落约 2%。2020 年全球各地先后受疫情影响,但存储行业资本支出修复、先进制程投资叠加数字化、5G 带来的下游各领域强劲需求,全年设备市场同比增长 19%。伴随半导体厂商新一轮资本开支开启,2021 年全球设备市场继续大幅增长 44%

40、。当前海外设备龙头应用材料、泛林集团等均预计 2022年全球设备市场规模将进一步增长。 图表 9:半导体设备市场增速周期性 资料来源:Wind,国盛证券研究所 下游资本开支提升,下游资本开支提升,半导体设备周期半导体设备周期向上向上。伴随着下游资本开支提升,设备厂商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单季度收入增速下调。复盘 2021 年,海外龙头全年营收高增速: 2021Q1:低基数高增长,北美设备出货在:低基数高增长,北美设备出货在 2021-01 首次单月超首次单月超 30 亿美元。亿美元。设备厂商营业收入增速从 2019Q2 触底

41、后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单季度收入增速下调。以 ASML 为例,疫情后营收增速恢复,2021Q1 半导体设备营收增速更是达到 95.1%,ASML 表示下游对于先进的光刻设备需求有增无减。 -15%-10%-5%0%5%10%15%20%25%30%00400050006000200002020212022Q1全球半导体销售规模(亿美金)yoy8.8%10.4%11.0%13.6%15.0%0%2%4%6%8%10% 12% 14% 16%SIA 2022.2WSTS 20

42、22.3IC Insights 2022.1Gartner 2022.4SemiconductorIntelligence 2022.2 2022 年 06 月 22 日 P.10 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2021Q2:晶圆制造设备龙头展望:晶圆制造设备龙头展望 2022 年需求强劲,核心设备在手订单超过一年。年需求强劲,核心设备在手订单超过一年。ASML 单季度收入 40 亿欧元,新增 83 亿欧元订单(其中 EUV 为 49 亿欧元) 。单季度BB 值创 2017 年以来最高,累计在手订单 170 亿欧元,供货延期将持续到 2022H2。全年增速指引从 30%提升

43、到 35%。Lam Research 单季度营收入、利润率均高于预期,公司预计 2022 年需求仍然很强劲本轮,资本密集度提升在半导体领域是全面的。KLA 订单也已经延续至 2022 年,部分产品交付期超过 12 个月。 2021Q3:全球各环节设备均供不应求,新增订单仍然较多。:全球各环节设备均供不应求,新增订单仍然较多。全球光刻机龙头 ASML 本季度收入 52 亿欧元,新增订单 62 亿欧元,BB 值持续高于 1,且在手订单远超一年产值。前道工艺龙头 Lam Research 展望 2021H2 市场需求强于 2021H1,且 2022 年将延续增长。 2021Q4:全球核心设备龙头订单

44、整体强劲,短期收入受限于供应链制约,预计:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计 2022年年 WFE 增长约增长约 1020%。其中,ASML 在 2021Q4 新增订单 71 亿欧元,BB 值 2.0,累计订单充沛。2022Q1 收入仅为 3335 亿欧元,预计有 20 亿欧元无法在 2022Q1 确认收入;预计 2022 年增长 20%。Lam Research 预计 2022Q1 同比大幅增长、环比下滑,主要受限于零部件和运输因素;预计 2022 年全球 WFE 增长至 1000 亿美元,增速18%。TEL 预计 2022Q1 营收同比增长 16%、环比增长 1%

45、;KLAC 预计 2022Q1 营收22 亿美元,环比下降 9%,下降主要由于供应链限制,积压订单依然强劲。 图表 10:海外半导体设备龙头季度营收跟踪(亿美元) 图表 11:海外半导体设备龙头季度营收同比增速跟踪 资料来源:彭博,国盛证券研究所 资料来源:彭博,国盛证券研究所 未来两年全球晶圆厂设备开支持续未来两年全球晶圆厂设备开支持续增长增长。2020 年疫情带来的居家及远程办公带来笔电等消费电子需求激增作为本轮周期的催化剂,2020H2 以车用芯片为代表的供应链开始紧张,下游持续增长的需求与上游有限产能的矛盾演绎为 2021 年全年行业供需失衡加剧。2022 年以来,消费性电子、智能手机

46、、PC 等领域需求确有下滑,但更值得注意的是全球正步入第四轮硅含量提升周期,服务器、汽车、工业、物联网等需求大规模提升。 在 6 月台积电召开的股东大会上,公司管理层表示未来 10 年是半导体行业非常好的机会,主要原因就是 5G 及高效能运算的普及,生活数字化转型,带来对车用(新车半导体含量可达传统车的 10 倍) 、手机、服务器等终端内半导体含量的增加,推动半导体需求大幅成长。中芯国际在 22Q1 法说会表示,尽管消费电子,手机等存量市场进入去库存阶段,开始软着陆,但高端物联网、电动车、绿色能源、工业等增量市场尚未建立足够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来

47、的本土化产能缺口,使得公司需要大幅扩产,推出新产品工艺平台,满足客户旺盛的增量需求。我们认为疫情、全球经济及半导体周期性虽然会带来短期内的不确定性,但是技术进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。 0500Q1 2019 Q3 2019 Q1 2020 Q3 2020 Q1 2021 Q3 2021 Q1 2022 KLAC TEL-Semiconductor Production Equipment LRCX AMAT-Semicondu Systems ASML-40%-20%0%20%40%60%80%100%120%Q12018Q32018Q1

48、2019Q32019Q12020Q32020Q12021Q32021Q12022ASMLAMAT-Semicondu SystemsLRCXTEL-Semiconductor Production EquipmentKLAC 2022 年 06 月 22 日 P.11 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 正因如此,2020 年开始全球领先的晶圆厂纷纷加速扩产提升资本开支,根据 IC Insights,2021年全球半导体资本开支增速达到 36%,预计 2022年将继续增长 24%,2020-2022 年将会成为自 1993-1995 年以来的首次 CapEx 连续三年增速超过 2

49、0%。半导体设备作为晶圆厂扩产的重要开支部分,根据 SEMI,2021 年全球晶圆厂前道设备支出增速达到 42%,预计 2022 年将进一步增长 18%。 图表 12:全球半导体资本开支(亿美金) 图表 13:全球晶圆厂前道设备支出(亿美金) 资料来源:IC Insights,国盛证券研究所 资料来源:SEMI,国盛证券研究所 图表 14:全球半导体资本开支集中度持续提升 图表 15:2022 年资本开支增速较快的厂商(百万美金) 资料来源:IC Insights,国盛证券研究所 资料来源:IC Insights,国盛证券研究所 台积电、中芯国际纷纷增加资本开支台积电、中芯国际纷纷增加资本开支

50、,CapEx 进入上行期。进入上行期。根据 IC Insights,全球代工厂资本开支约占半导体总体的 35%,根据头部代工厂的资本开支规划来看,2022 年代工领域资本开支将进一步提升。台积电从 2020 年 170 亿美金增长到 2021 年的 300亿美金(用于 N3/N5/N7 的资本开支占 80%) ,公司 2021 年 4 月 1 日公布未来三年资本开支 1000 亿美金,2022 年资本开支将进一步提升至 400-440 亿美金,预计 2023 年资本开支仍有望超过 400 亿美金;联电 2021 年 CapEx 18 亿美金,预计 2022 年翻倍达到 36 亿美金(其中 90

51、%将用于 12 英寸晶圆) ;GlobalFoundries 于 2021 年 IPO 后资本开支大幅提升用于扩产,公司 2020年 CapEx 4.5亿美金,2021年提升至 16.6亿美金,预计2022年超过 40亿美金;中芯国际2021年资本开支维持高位,达到 45亿美金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月) ,预计 2022 年达到 50 亿美金。 -60%-40%-20%0%20%40%60%80%100%120%0200400600800002008200022F资本开

52、支yoy-20%-10%0%10%20%30%40%50%020040060080010001200晶圆厂前道设备支出yoy20%30%40%50%60%70%80%92000720092001720192021全球前五大半导体厂商资本开支集中度 2022 年 06 月 22 日 P.12 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 16:2021 年全球代工行业营收份额 资料来源:TrendForce,国盛证券研究所 图表 17:七家晶圆代工企业资本开支(亿美元) 资料来源:彭博,各公司公告,国盛证券研究所 存储厂

53、商存储厂商: 三星三星 22Q1 资本支出为 7.9 万亿韩元,其中用于半导体的投资为 6.7 万亿韩元,用于显示的投资为 0.7 万亿韩元。对存储的投资集中在 P3 晶圆厂的投资建设,及华城、平泽和西安工厂的工艺转换,重点开发 5nm 以下先进工艺的制造能力。平泽P3 晶圆厂系三星全球建设的园区最大的晶圆厂,用于生产存储和逻辑芯片,建成后陆续将有NAND FLASH、DRAM芯片投产,其后也将采用 3nm工艺为其他厂商进行晶圆代工; 海力士海力士 22Q1 资本支出为 4 万亿韩元,预计 2023 年资本支出将继续增长。海力士22Q1 支出的 4 万亿韩元主要用于对大连、利川、M15 工厂的

54、投资建设。海力士资本开支通常集中在上半年,从而有利于推动全年位元的成长。但公司在 22Q1 法说会上称今年由于设备交付时间的延长,公司会在全年各季度更均匀的进行资本支出。此外,Solidigm 的资本支出也将计入公司总资本开支中,故 23 年的合计资本支出将继续增加; TSMC, 53%UMC, 7%PSMC, 2%VIS, 1%Samsung, 18%DBHiTeK, 1%SMIC, 5%HH Group, 2%Nexchip, 1%GF, 6%Tower, 1%-20%-10%0%10%20%30%40%50%60%005006007002001

55、42000212022E高塔世界华虹格芯联电中芯国际台积电资本开支合计yoy 2022 年 06 月 22 日 P.13 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 美光美光一季度资本支出 26 亿美元,全年资本开支指引保持在 110-120 亿美元。美光预计 22H2 与 22H1 资本支出将基本持平。此外,美光表示虽然 22Q1 资本支出受制于设备交付周期的延长,但其对全年的供应前景仍保持信心。随着 1-alphaDRAM 和 176 层 NAND 产品在终端市场的快速增长,公司将持续加强在上述工艺技术上的投资布局,巩固先发地位。 下游汽车

56、、工控需求持续增长驱动,下游汽车、工控需求持续增长驱动,IDM 厂商厂商同样大幅扩产。同样大幅扩产。 英特尔英特尔 22Q1 资本开支 46 亿美金,并预计将在下半年加大资本支出力度。公司俄亥俄州新工厂即将投产,并将在爱尔兰、以色列和德国投建新工厂,以满足 IFS 业务和 IDM 业务的双重需求,以满足在 24-25 年放量的代工客户需求,公司预计2022 年 capex 达到 270 亿美金 英飞凌英飞凌表示将会继续加大在 40 至 130nm 级别的资本支出,推进氮化镓和碳化硅制造的需求增长,尽快满足包括 CMD 和其他业务在内的需求放量,预计 2022 年capex 达到 24 亿美金;

57、 意法半导体意法半导体 22Q1 资本支出 8.4 亿美金,受益汽车、工业市场明确的需求提升,公司预计 22 年资本开支总额 35-36 亿美金用于扩产,包括在意大利阿格拉特的 300毫米晶圆厂建设新产线; 德州仪器德州仪器预计各系统中的半导体含量都将在未来五年中持续提升,公司将继续重点投资模拟和嵌入式产品,以工业汽车应用为重心,投资扩张 12 英寸晶圆厂,包括德州理查森的 RFAB2 和犹他州的 LFAB,预计 2022 年资本支出 35 亿美金; 恩智浦恩智浦打造的汽车首款专用 16nm 成像雷达处理器 NXP S32R45 已投入量产,公司22 年资本支出额将超过长期计划,主要为了保证晶

58、圆供应,满足客户稳定的订单需求,公司与代工伙伴签订了长期采购协议,投资重点围绕混合信号和模拟工艺; 索尼索尼 2018-2020 年实际资本支出共 91.7 亿美金,将 2021 年至 2023 年预计资本支出自 114.6 亿美金提高至 130 亿美金。 “芯拐点” 、新制程、新产能推动需求“芯拐点” 、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点,行业向上;其次,先进制程带来的资本开支越来越重,7nm 投资在 100 亿美元,研发30 亿美元;53nm 投资在 200 亿美元;7nm 单位面积生产成本跳升,较 14nm 直接翻倍;并且,大陆晶圆厂投建带动更多设备投资需求

59、。 图表 18:全球 12 英寸晶圆制程结构 图表 19:100K 产能对应投资额要求(亿美元) 资料来源:SUMCO(2021Q3),国盛证券研究所 资料来源:SEMI,国盛证券研究所 2022 年 06 月 22 日 P.14 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 1.2 前道设备占主要部分,测试需求前道设备占主要部分,测试需求高高增速增速 半导体设备按照制造环节可以分为前道晶圆制造设备、封装设备和测试设备。 图表 20:集成电路前道工艺对应设备 资料来源:芯源微招股书,国盛证券研究所 图表 21:半导体测试设备应用环节 资料来源:华峰测控招股书,国盛证券研究所 图表 22:半

60、导体封装流程 资料来源:ASMPT,国盛证券研究所 2022 年 06 月 22 日 P.15 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 前道设备占主要部分。前道设备占主要部分。设备投资一般占比 7080%,当制程到 16/14nm 时,设备投资占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、测试三类设备分别占 85%、6%、9%。 图表 23:半导体制造领域典型资本开支分布 资料来源:Gartner,国盛证券研究所 图表 24:2013-2018 年全球半导体设备按工艺流程划分(亿美元) 图表 25:2020-2023 年全球半导体设备按工艺流程划分

61、(亿美元) 资料来源:Gartner,国盛证券研究所 资料来源:SEMI,国盛证券研究所 测试需求测试需求高增长高增长。半导体设备 20132018 年复合增长率为 15%,前道、封装、测试设备增速分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%)和存储测试设备(CAGR 27%) 。根据SEMI,2021年全球半导体测试设备市场规模达到77.9 亿美金,同比增长 29.6%,预计 2022 年市场规模进一步增长至 81.7 亿美金。 图表 26:全球半导体前道设备划分(百万美元) 图表 27:全球半导体测试设备划分(百万美元) 资料来源:Gartner,国盛证

62、券研究所 资料来源:Gartner,国盛证券研究所 005006007002001620172018Wafer Fab EquipmentAssembly EquipmentTest Equipment0200400600800020202021F2022F2023FWafer Fab EquipmentTest EquipmentAssembly&Packaging Equipment 2022 年 06 月 22 日 P.16 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 28:泰瑞达分业务季度营收及公司市场看法(

63、百万美元) 资料来源:泰瑞达官网,国盛证券研究所 1.3 全球市场受海外厂商全球市场受海外厂商主导主导,前五大厂商市占率较高,前五大厂商市占率较高 全球全球设备五强设备五强占市场主导角色占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。 图表 29:AMAT、LAM、TEL 主导大部分前道工艺 资料

64、来源:中微公司公告,国盛证券研究所 2022 年 06 月 22 日 P.17 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 30:全球半导体设备厂商排名(亿美金) 资料来源:各公司公告、国盛证券研究所 综合看下来,设备五强市场在各赛道合计市占率基本在综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。以上。AMSL 优势在光刻方面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻蚀领域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。 图表 31:五大设备厂商行业格局(百万美元) 资料来源:彭博、公司公告、国盛证券研究所

65、 1.4 海外设备厂商在手订单饱满,海外设备厂商在手订单饱满,供应链限制延续供应链限制延续 在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。1)供给高度紧张:ASML 22Q1 营收 yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本压力(原材料、物流、通胀等) 。2)订单依旧强劲:ASML新增在手订单约70亿欧元,环比持平。KLA:当前在手订单交期总体56个月,部分产品78月。爱德万客户订单提前量增加,由于系半导体等材料和零件短缺

66、,交期延长。3)积极扩产:ASML 预计2030年产能至少翻番,2025年年产能增加到约 90套 0.33孔径 EUV和 600套 DUV。泰瑞达预计2023研发费用1900亿日元,yoy+20.1%;资本开支750亿日元,yoy+31.1%,规划金额皆较往年有大幅提升。 2022 下半年下半年展望乐观,全年需求强劲将有订单递延至明年。展望乐观,全年需求强劲将有订单递延至明年。泛林 2022Q2 毛利率指引中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、产品竞争力效益显现及部分订单延迟多数企业对 H2展望乐观。ASML预计 2022H2表现强劲,毛利率约 54%,高

67、于全年 52%指引,主要由 EUV和 DPV 出货及安装基础管理业务利润率提升驱动。Q4 部分 EUV 系统收入将递延到 2023 年。泛林预计 2022 WFE 需求将超 1000 亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产,预计 H2 出货有更大增量及灵活性,预计 Q2 实现增长,仅高端产品出货受限。 ASML:业绩达到预期,新增订单:业绩达到预期,新增订单 70 亿欧元亿欧元 2022 年 06 月 22 日 P.18 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 Q1 营收符合预期,快速出货模式下订单延迟确认。营收符合预期,快速出货模式下订单延迟确认。ASML

68、22Q1 营收为 35 亿欧元,达指引上限,yoy -19%,qoq -29%,净利润 6.95 亿欧元,yoy-48%,qoq-61%,营收及净利的下降主要系快速出货模式下部分订单确认收入时间延迟到 22Q2。毛利率为49.0%,达到预期。本季度出货 9 套 EUV 系统,并确认了 3 套 EUV 设备 5.91 亿欧元的收入。 图表 32:ASML 季度营收及其增速 图表 33:ASML 季度利润率 资料来源:彭博,国盛证券研究所 资料来源:彭博,国盛证券研究所 新增在手订单约新增在手订单约 70 亿欧元亿欧元。22Q1公司新增在手订单约 70亿欧元,其中逻辑占 66%,存储占 34%。其

69、中包含 25 亿欧元的 0.33 孔径 EUV 系统和 0.55 高孔径 EUV 系统,DPV订单量为 45 亿欧元,反映出对先进和成熟节点的持续强劲需求。 -40%-20%0%20%40%60%80%100%120%0070 21Q1 21Q2 21Q3 21Q4 22Q1总营收(亿美元)yoyqoq00 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1毛利率(%)净利率(%) 2022 年 06 月 22 日 P.19 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 34:2022Q1 新增订单结构

70、情况 资料来源:ASML 官网,国盛证券研究所 收入构成中,从技术路线上看,ArFi 占比最高为 47%,EUV 占比 26%, KrF 占比 12%,量测和检测占比 7%,干法 ArF 占比 6%,I-line 占比 2%。从地区来看,中国大陆占比34%,韩国占比 29%,中国台湾地区占比 22%,日本占比 7%,美国占比 6%,亚洲其他地区占比 1%,欧非中东合计占比 1%。从终端应用上看,逻辑与存储各占 50%。 图表 35:ASML 销售结构 资料来源:ASML 官网,国盛证券研究所 2022 全年展望:全年展望: 收入端:收入端:指引保持不变,同比增长约 20%(增长不包括 Q4 快

71、速出货部分 EUV 系统价值,这些收入延迟到 2023 年) 成本:成本:由于材料、供应链、运输等成本上升,利润率面临压力,预计将对 2022 年全年毛利率有 1%的影响。 毛利率:毛利率:预计 2022H2 表现强劲,毛利率约为 54%。主要由较高的 EUV 和 DPV 产量以及安装基础管理业务的利润率提高驱动。预计今年毛利率接近 52%。 细分市场:细分市场:EUV 业务:预计今年将出货约预计今年将出货约 55 套系统。套系统。由于第四季度也将快速出货其中一些系统,预计部分收入将被推迟到 2023年,今年该部分收入预计约为78亿欧元。DUV业务上,预计浸入式和干式系统都会有显著增长,对量测

72、和检测系统的需求也将持续。除了先进的节点,成熟细分市场对深紫外系统的需求也将不断增长,如模拟电路、电源和传感器。预计逻辑相关收入将同比增长超过 20%,存储相关收入将同比增长约 25%。 2022 年 06 月 22 日 P.20 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 产能规划(暂时) :产能规划(暂时) :目前需求超过公司产能,ASML 及供应商正计划积极增加产能。预计 2030 年产能至少翻一番,2025 年年产能增加到约年年产能增加到约 90 套套 0.33 孔径孔径 EUV 系系统和统和 600 套套 DUV 系统。系统。 泛林:短期供应受限影响收入,需求仍然旺盛泛林:短期

73、供应受限影响收入,需求仍然旺盛 供应严重受限,业绩处于指引下限。供应严重受限,业绩处于指引下限。供应链限制对公司的收入和盈利能力产生了负面影响,此外,公司还面临与供应相关的通胀压力、运输物流价格上升、原材料成本以及集成电路的成本压力。为更好应对供应链挑战,公司采取增加资源、与客户合作、新增额外零部件供应商等措施以提高供应链灵活性。公司 22Q1 营收 40.60 亿美元,同比+5.51%,qoq-3.95%;毛利率 44.7%,成本压力较大导致毛利波动。持续的成本和供应限制挑战将继续影响公司对二季度业绩的预测。 图表 36:Lam Research 季度营收及增速 图表 37:Lam Rese

74、arch 季度利润率 资料来源:彭博,国盛证券研究所 资料来源:彭博,国盛证券研究所 预计预计 2022 年年 WFE 需求将超需求将超 1000 亿美元,任何未满足的设备需求都将递延至明年。亿美元,任何未满足的设备需求都将递延至明年。即使供应受限,存储、代工、逻辑需求仍然非常强劲。存储一季度营收环比增长 58%,DRAM 业务为公司带来了创纪录的收入水平;代工一季度收入环比下降,与下游客户投资时机有关。随着公司今年在领先和成熟节点设备投资方面的进展,预计这一领域将出现增长;逻辑一季度贡献了 13%的总营收,创下记录。刻蚀领域发展前景良好,公司预计 2022 年这一领域将继续增长;CSBG 收

75、入约为 14 亿美元,受到了持续的供应链约束的负面影响,环比下降 5%,但下游需求依然强劲。公司预计 2022 年 WFE 需求将超过 1000 亿美元,任何未满足的设备需求都将递延至明年。 展望展望 2022Q2 需求能见度高。需求能见度高。尽管客户需求持续强劲,但基于持续的供应链约束,公司预计 22Q2收入 42亿美元,上下浮动 3亿美元。毛利率预计为 44.5%,上下浮动 1%。公司的指引预期反映了对通货膨胀的成本环境的预期,以及对供应链执行的持续策略管理的需求。营业利润率预计为 29.5%,上下浮动 1%。虽然公司面对供应链不断限制产能的挑战,但需求依然强劲。一季度结束后,公司二季度的

76、订单积压不断增加,终端一季度结束后,公司二季度的订单积压不断增加,终端需求的能见度很高。需求的能见度很高。展望 2022 全年,随着产能的增加,预计下半年毛利率趋好。 -10%0%10%20%30%40%50%60%363738394041424344 21Q1 21Q2 21Q3 21Q4 22Q1总营收(亿美元)yoyqoq055404550 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1毛利率(%)净利率(%) 2022 年 06 月 22 日 P.21 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 38:Lam

77、Research 2022Q1 营收结构 资料来源:公司官网,国盛证券研究所 爱德万爱德万测试测试:2021 年业绩高增,积极筹资扩产年业绩高增,积极筹资扩产 年度业绩高增,订单、销售额、营收及净利润均创历史新高。年度业绩高增,订单、销售额、营收及净利润均创历史新高。2021 财年公司收到订单相比上年由 3,306亿日元增至 7,003亿日元,同比增加 111.82%,营业收入同比 62.2%增至 1147 亿日元,净利润同比 25.1%增至 873 亿日元。其中净利润的增长相对较小,主要是因为日本税负结转会计准则的调整和上一财年计提递延所得税资产的税收负担降低所致。整体上,公司受益于半导体需

78、求长期扩张和业绩改善的趋势。订单增长主要由于半导体等材料和零件的短缺,产品交货时间更长,公司的相关客户订单提前量增加。21Q4 营业收入和税前收入也创下了披露季度财报以来的历史新高,主要受益于:客户提前订购测试设备量增加、设备种类增多,测试设备业务和系统级测试业务的增长驱动了机电一体化系统和服务支持业务的业绩增长,同时公司在采购必要零件方面的完善减少了半导体元件和必要零件短缺的影响。 图表 39:爱德万业绩表现(十亿日元) 资料来源:爱德万公司官网,国盛证券研究所 2022 年 06 月 22 日 P.22 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 毛利率改善,增加研发投入并筹备资金,

79、为未来产能和业务扩张奠定基础。毛利率改善,增加研发投入并筹备资金,为未来产能和业务扩张奠定基础。2021 财年公司毛利率为 56.6%,较 2020 年的 53.8%略有提升,主要受益于整体销售中高附加值测试设备占所有高性能半导体的比例增加,增加了智能手机和数据中心相关设备的高端SoC 半导体测试设备的销售额,改善产品组合。公司自 21Q2 开始对在美国的产能扩张进行投资,并在第四季度进一步投资。 多方需求增加使公司对多方需求增加使公司对 2022 财年业绩持乐观态度,需求的增长主要受益于技术的增财年业绩持乐观态度,需求的增长主要受益于技术的增长。长。SoC 测试设备的需求主要来自于半导体和相

80、关制造工艺的复杂性增加,内存测试设备的需求侧驱动力主要是小型化、高密度以及更高的速度和带宽等趋势数据中心对 HPC设备的高需求方面,公司有希望增加DRAM测试设备的销售。由于对设备接口产品的持续高需求,公司预计纳米技术产品的销售额也将增加,这与测试设备业务和 EUV 光刻技术的日益普及密切相关。主要的困难仍然来自于采购半导体和其他零件,公司将与正在开发领先半导体技术的客户合作。 KLA:把握先进封装和汽车电子机遇把握先进封装和汽车电子机遇 公司 FY22Q3 营收 22.89 亿美元,yoy+26.88%,接近之前的业绩指引上限;净利润7.76 亿美元,yoy+28.92%,qoq+1.95%

81、。 把握先进封装和汽车电子机遇。把握先进封装和汽车电子机遇。公司 FY22Q3 在汽车应用的晶圆检测收入方面创下有史以来最高客户参与度。利用半导体工艺控制(SPC)和电子、封装、组件(EPC)的组合,公司加强在先进封装和汽车电子领域的开发。公司扩大产品组合,开发一套全面的产品和技术,包括用于先进封装市场的晶圆级封装、最终组装和测试产品,以及一套旨在帮助客户实现其零缺陷目标的检测系统和工艺工具。该产品组合包括零缺陷项目中的持续改进项目(CIP)设计的检查系统,如:在线模具筛选、电源设备可靠性、封装和PCB 质量。 图表 40:KLAC 营收及增速(亿美元,%,取自然年) 资料来源:Wind,国盛

82、证券研究所 分业务来看,分业务来看,半导体工艺控制及相关服务业务 FY22Q3 营收达到 19.8 亿美元,yoy+31%,qoq-4%,符合预期,其中 63%营收来自于代工厂和逻辑客户,37%来自于存储客户(26%来自于 DRAM,11%来自于 NAND) ;特种半导体工艺业务实现营收11.7 亿美元,yoy+28%,qoq+4%;PCB、显示器和元件检测业务实现营收 1.93 亿美元,yoy-6%,qoq+2%。 -10.00%0.00%10.00%20.00%30.00%40.00%50.00%058Q12018Q32019Q12019Q32020Q12020Q32

83、021Q12021Q32022Q1营业收入(亿美元)yoy(%)qoq(%) 2022 年 06 月 22 日 P.23 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 41:KLAC 营收分业务拆分 资料来源:KLAC 官网,国盛证券研究所 分产品来看,分产品来看,晶圆检测系统实现营收 9.19 亿美元,yoy+29%,qoq-17%,该部分营收占比 40%;图案制作(包括光罩检测)收入为 6.11 亿美元,yoy+53%,qoq+20%,营收占比 27%;特种半导体工艺收入为 1.06 亿美元,yoy+38%,qoq+1%,营收占比5%;PCB、显示器和元件检测收入为1.23亿美元

84、,yoy-13%,qoq+1%,营收占比5%;服务营收达到 4.88 亿美元,yoy+14%,qoq+7%,营收占比 21%,该部分增长超预期主要系长期服务协议的增长、产能利用率的提高以及传统节点服务的拓展等。 图表 42:KLAC 营收分产品拆分 资料来源:KLAC 官网,国盛证券研究所 业绩展望:预计业绩展望:预计下一季度下一季度总收入预计为总收入预计为 24.25 亿美元,上下浮动亿美元,上下浮动 1.25 亿美元;代工亿美元;代工/逻辑预计约占半导体工艺控制系统收入的逻辑预计约占半导体工艺控制系统收入的 56%,存储预计约占,存储预计约占 44%,在存储中,在存储中,DRAM 预计约占

85、细分市场的预计约占细分市场的 66%,NAND 预计约占预计约占 34%。 2022 年 06 月 22 日 P.24 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 43:KLA 对于 CY2022Q2 业绩预告 资料来源:KLA 官网,国盛证券研究所 泰瑞达:泰瑞达:汽车和闪存市场强劲汽车和闪存市场强劲 业绩高于指引中点,汽车和闪存市场需求强劲。业绩高于指引中点,汽车和闪存市场需求强劲。22Q1 营业收入达 7.55 亿美元,yoy -3.36%,qoq -14.65%。22Q1 毛利率为 60.2%,同比增长 1.10 pct,环比增长 0.70 pct。营收中工业自动化收入同比

86、提升 29%。 公司表示目前不断增长的汽车设备复杂性、ADAS 相关器件和电动汽车设备是 SoC 测试的长期驱动力,未来 SoC 测试需求主要是在汽车终端市场,预计 2022 年高端市场总量将达到 4050 亿美元。存储器市场将维持稳定,其中 NAND 需求将增强,DRAM 需求将持续减弱。 图表 44:泰瑞达 2022Q1 收入情况 资料来源:泰瑞达官网,国盛证券研究所 半导体测试业务方面,22Q1 销售收入达到 4.82 亿美元,同比下降 9%,其中 SoC收入为 3.87 亿美元,存储收入为 0.96 亿美元,DRAM 市场的下降被闪存市场的新增需求所抵消。 系统测试业务方面,22Q1销

87、售收入达到1.19亿美元,同比下降11%。其中国防、航空、汽车电路板测试领域的出货量增长超 30%。 2022 年 06 月 22 日 P.25 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 无线测试业务方面,22Q1 销售收入达到 0.52 亿美元,同比增长 26%。由于 Wi-Fi6/6E/7、UWB 等产品的高需求,无线测试业务实现高速增长。 工业自动化业务方面,22Q1 销售收入达到 1.03 亿美元,同比增长 29%。UR 销售收入同比增长 30%,其中美国地区收入增长 55%,需求尤为强劲;MiR 销售收入同比增长 22%。 图表 45:泰瑞达 2022Q1 业绩分业务拆分 资

88、料来源:泰瑞达官网,国盛证券研究所 东京电子:营收高增,东京电子:营收高增,半导体半导体市场市场热度不减热度不减 营收营收大幅提升,半导体市场热度不减。大幅提升,半导体市场热度不减。公司 FY22 总营收为 2万亿日元,yoy+ 43.2%,主要得益于全球经济的逐步复苏、碳中和的逐步推广以及通信技术的不断发展带来的半导体需求提升;其中日本国内营收为 2303.7 亿日元,yoy+16.6%,占比 11.5%;海外营收为 1.77 万亿日元,yoy+47.6%,占比 88.5%。归母净利 4370.8 亿日元,yoy+79.9%。毛利率达 45.5%,yoy+5.1pt。 图表 46:东京电子业

89、绩情况 资料来源:东京电子官网,国盛证券研究所 2022 年 06 月 22 日 P.26 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 分业务看:分业务看: SPE半导体生产设备:半导体生产设备:在社会数字化的推动下,从尖端的半导体到成熟的几代半导体需求强烈,资本方对 DRAM 和 NAND 闪存的投资也继续保持在高水平。FY22 SPE 部门对外营收为 1.94 万亿日元,yoy+47.8%,占比 97%。 FPD 平板显示器生产设备:平板显示器生产设备:随着电视用大尺寸液晶面板的资本投资已基本走到尽头,FPD TFT 阵列的整体制造设备市场开拓已经放缓。同时,中小型 OLED 面板的

90、资本投资仍在继续,终端产品中安装的显示器正在从 LCD 面板转换为 OLED 面板。FY22 前三季度该部门的营收为 598.3 亿日元,同比减少 28.6%,占比 3%。 图表 47:东京电子分业务情况 资料来源:公司官网,国盛证券研究所 2023 展望:展望:公司预计 FY2023 营收 2.35 万亿日元,yoy+17.3%,其中 SPE 部门营收2.29万亿日元,yoy+18.1%;FPD部门营收 550亿日元,yoy-8.1%;归母净利 5230亿日元,yoy+19.7%。研发费用预计 1900 亿日元,yoy+20.1%,资本开支 750 亿日元,yoy+31.1%。公司 FY20

91、23 研发投入及资本开支规划较往年有大幅提升。 1.5 国内需求爆发,国内需求爆发,国产替代空间快速打开国产替代空间快速打开 国内晶圆厂投资进入高峰期。国内晶圆厂投资进入高峰期。根据集微网统计,20202022 年国内晶圆厂总投资金额分别约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。20202022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。 2022 年 06 月 22 日 P.27 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 48:国内晶圆厂投资规模(亿元)(20202022 年为预测数据) 资料来

92、源:集微网、公司公告、国盛证券研究所 设备国产化率较低,海外龙头垄断性较高。设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过5家,top3份额往往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求。 制程越制程越先进先进,设备投资额占比越高。,设备投资额占比越高。设备投资一般占比 7080%,当制程到 16/14nm时,设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理等均是重要投资环节。 国内国产化逐渐起航,从国内国

93、产化逐渐起航,从 0 到到 1 的过程基本完成。的过程基本完成。北方华创产品布局广泛,刻蚀机、PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD等设备新产品市场导入节奏加快,产品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,产品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快增长。拓荆科技作为国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,PECVD累计发货 150台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕东微电子等国内主流晶圆厂,PEALD 已实现销售;中微公司介质刻蚀机已经打入 5nm制程,新款用

94、于高性能Mini-LED量产的MOCVD设备UniMax 2022Q1订单已超180腔;芯源微前道涂胶显影设备在 28nm 及以上多项技术及高产能结构方面取得进展,并实现多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现国产替代,新签订单结构中前道产品占比大幅提升;华海清科 CMP 设备在逻辑芯片、3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,到 2021 年底,公司 CMP 设备累计出货超过 140 台,未发出产品的在手订单超 70 台。Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机

95、在海力士、长存、SMIC 等产线量产。精测电子、上海睿励在测量领域突破国外垄断。 2022 年 06 月 22 日 P.28 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 49:国产设备替代进程 资料来源:公司公告,国盛证券研究所 设备国产化率较低,设备国产化率较低,国产厂商成长空间巨大国产厂商成长空间巨大。我国半导体设备市场仍非常依赖进口,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标空间较大。 1.6 2022Q1 国产设备厂商国产设备厂商营收营收持续持续高增高增 2021 年年及及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备收入、

96、利润快速增长,国产替代持续深化。设备行业核心公司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电子、至纯科技,拓荆科技、华海清科及盛美上海由于 2020 年数据不完整未被算入)2022Q1 营业收入总计 76.2 亿元,同比增长 55.3%;扣非归母净利润 11.4 亿元,同比增长83.0%。设备行业持续处于高速增长,国产替代空间快速打开,国内核心设备公司成长可期。 图表 50:设备核心公司营业收入及归母净利润(亿元) 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1 营业收入 31.2 41.2 46.1 69.3 49.1

97、69.9 70.9 95.6 76.2 yoy 11.5% 3.2% 32.6% 53.4% 57.2% 69.7% 53.8% 37.9% 55.3% 扣非归母净利润 1.9 5.3 4.3 7.3 6.2 9.2 12.6 13.8 11.4 yoy -42.1% -9.1% -19.4% 193.8% 226.0% 72.6% 194.0% 89.5% 83.0% 资料来源:Wind,国盛证券研究所 2022 年 06 月 22 日 P.29 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 51:设备核心公司毛利率 图表 52:设备核心公司研发费用(亿元) 资料来源:Wind,国

98、盛证券研究所 资料来源:Wind,国盛证券研究所 图表 53:设备核心公司经营增速 2021 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 北方华创 60% 50% 101% 183% 309% 382% 拓荆科技 74% 86% 696% 15% -44% 10% 芯源微 152% 62% 58% 398% 396% 580% 华峰测控 121% 124% 120% 356% 194% 195% 中微公司 37% 57% 105% -15% 1291% 1578% 新益昌 70% 74% 116% 84% 114% 77% 长川

99、科技 88% 82% 157% 60% 340% 47% 华海清科 109% 193% 103% 122% 680% 458% 万业企业 -6% -77% 19% -86% -7% -83% 精测电子 16% 2% -21% -56% -51% -64% 至纯科技 49% 137% 8% -71% 47% 1131% 盛美上海 61% 29% 35% -89% 111% - 晶盛机电 56% 114% 99% 57% 99% 78% 资料来源:Wind,国盛证券研究所 设备厂商在手订单充足,合同负债保持较高增速。设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负债

100、合计分别为 139.5 亿元,同比增长 76.2%,保持高增速。其中,北方华创 2022Q1 合同负债达到 50.9 亿元。 30%32%34%36%38%40%42%44%46%19Q119Q320Q120Q321Q121Q322Q1毛利率毛利率8%9%10%11%12%13%14%02468101220Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1研发费用研发费用率 2022 年 06 月 22 日 P.30 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 54:设备核心公司预收账款/合同负债(亿元) 20Q1 20Q2 20Q3 20Q4 2

101、1Q1 21Q2 21Q3 21Q4 22Q1 北方华创 26.4 27.3 23.5 30.5 44.9 47.4 55.0 50.5 50.9 拓荆科技 0.0 0.0 0.0 1.3 2.2 0.0 4.5 4.9 7.8 芯源微 0.8 0.7 0.6 1.3 1.6 2.2 2.9 3.5 4.3 华峰测控 0.2 0.2 0.4 0.4 0.8 1.3 1.4 1.3 1.2 中微公司 7.3 3.9 5.1 5.9 4.6 4.3 8.9 13.7 15.0 新益昌 0.0 0.9 0.0 1.4 1.9 2.1 2.3 2.2 1.8 长川科技 0.0 0.0 0.1 0.1

102、0.1 0.1 0.1 0.1 0.0 华海清科 0.0 0.2 0.0 1.6 0.0 0.0 0.0 7.8 8.4 万业企业 0.0 0.6 3.5 3.4 1.2 0.6 0.9 6.2 9.2 精测电子 2.1 1.9 2.8 0.0 0.0 0.0 0.0 0.8 0.8 至纯科技 1.7 1.6 4.9 1.7 2.0 2.5 1.5 2.4 2.5 盛美上海 0.0 0.0 0.0 0.9 0.0 2.3 2.7 3.6 4.4 晶盛机电 8.9 14.2 22.2 20.0 23.9 40.1 38.3 49.6 55.6 资料来源:Wind,国盛证券研究所 图表 55:设备

103、核心公司合同负债占营收比 资料来源:Wind,国盛证券研究所 大陆大陆 12 寸寸晶圆厂建厂潮带动设备需求持续增长。晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。2020 年以来,国内 12 寸晶圆厂遍地开花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导体、华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019年至 2024 年,全球至少新增 38 个 12 寸晶圆厂,其中中国台湾 11 个,中国大陆 8 个,到 2024 年,中国 12寸晶圆产能将占全球约 20%。大量晶圆厂的扩建

104、、投产,将带动对上游半导体设备的需求提升,更有望为国产化设备打开发展空间。 20%25%30%35%40%45%50%020406080020Q120Q220Q320Q421Q121Q221Q321Q422Q1合同负债(亿元)合同负债占LTM营收比 2022 年 06 月 22 日 P.31 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 56:国内晶圆厂投建扩产计划 序号序号 企业名称企业名称/项项目名称目名称 尺寸尺寸 地点地点 现 有现 有产能产能 2021 产能产能增加增加 总 目 标总 目 标产能产能 是 否 新 建是 否 新 建 (2015 年后年后投

105、产)投产) 类型类型 股东股东 备注备注 1 中 芯 国 际(北京) 12 北京 - - - 否 代工 内资 量产 2 中芯北方 12 北京 - - - 否 代工 内资 量产 3 中芯南方 12 上海 - - - 是 代工 内资 量产 4 中 芯 国 际(上海) 12 上海 - - - 否 代工 内资 量产 5 中芯京城 12 北京 - - - 是 代工 内资 在建 6 中 芯 国 际(深圳) 12 深圳 - - - 是 代工 内资 在建 7 武汉新芯 12 武汉 2.7 1.3 4.5 否 代工 内资 量产 8 合 肥 晶 和 集成 12 合肥 4 3 10 是 代工 内资 量产 9 广州粤

106、芯 12 广州 1.6 0.4 3.5 是 代工 内资 量产 10 士兰微厦门 12 厦门 - - - 是 IDM 内资 量产 11 华润微电子 12 重庆 - - - 是 IDM 内资 在建 12 积塔 12 上海 0 0 0.5 是 代工 内资 在建 13 长江存储 12 武汉 4 6 30 是 IDM 内资 量产 14 长鑫存储 12 合肥 4.5 3.5 30 是 IDM 内资 量产 15 福建晋华 12 泉州 - - - 是 IDM 内资 量产 16 华虹无锡 12 无锡 2 2 4 是 代工 内资 量产 17 华力微 12 上海 3.5 0 3.5 否 代工 内资 量产 18 华力

107、微二期 12 上海 2.5 1 4.5 是 代工 内资 量产 19 杭州积海 12 杭州 0 0 2 是 代工 内资 在建 20 杭州富芯 12 杭州 0 0 3 是 IDM 内资 在建 21 上海闻泰 12 上海 - - - 是 IDM 内资 在建 22 上海格科微 12 上海 0 0 2 是 IDM 内资 在建 23 中 芯 国 际(上海) 8 上海 - - - 否 代工 内资 量产 24 中 芯 国 际(天津) 8 天津 - - - 否 代工 内资 量产 25 中 芯 国 际(深圳) 8 深圳 - - - 否 代工 内资 量产 26 积 塔 ( 原 上海先进) 8 上海 2.8 0 3

108、否 代工 内资 量产 27 积塔 8 上海 1 1 10 是 代工 内资 量产 28 中芯绍兴 8 绍兴 - - - 是 代工 内资 量产 29 士兰微 8 杭州 - - - 是 IDM 内资 量产 30 华润微电子 8 重庆 - - - 否 IDM 内资 量产 31 燕东微电子 8 北京 1.5 3.5 5 是 代工 内资 量产 32 华润微电子 8 无锡 - - - 否 代工 内资 量产 2022 年 06 月 22 日 P.32 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 33 华虹宏力 8 上海 - - - 否 代工 内资 量产 34 华虹宏力 8 上海 - - - 否 代工 内

109、资 量产 35 华虹宏力 8 上海 - - - 否 代工 内资 量产 36 中 车 时 代 电气 8 株洲 - - - 是 IDM 内资 量产 37 芯恩 8 青岛 - 0 4 是 代工 内资 在建 38 济南富元 8 济南 0 0 3 是 IDM 内资 在建 39 中科汉天下 8 杭州 0 0 1 是 IDM 内资 在建 40 赛微 8 北京 1 0 3 是 代工 内资 量产 41 中芯宁波 8 宁波 - - - 是 代工 内资 量产 42 比亚迪长沙 8 长沙 0 0 2 是 IDM 内资 在建 43 大连宇宙 8 大连 1 0 2 否 IDM 内资 量产 44 扬 州 晶 新 微电子 8

110、 扬州 0 0 5 是 IDM 内资 在建 总计 12 38.9 21.2 145.4 总计 8 74.0 16.6 135.0 资料来源:集微网、国盛证券研究所 二二、光刻机:、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小半导体制程工艺核心环节,将掩膜板图形缩小 光刻是光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上的过程的过程。光刻胶(正胶)受到照射的部分,将发生化学变化,从而易溶于显影液。 瑞利公式:瑞利公式:CD=k1*(/NA)。CD为关键尺寸,为了降低 CD,有三种方式: (1)降低波长; (2)提高镜头的数值孔径 NA; (3

111、)降低综合因素 k1。 生产参数:生产参数: (1)分辨率:可达到最小光刻图形尺寸; (2)套准精度:图形尺寸在亚微米数量级上,套刻误差在特征尺寸 10%; (3)产率:对给定掩膜板,每小时能曝光的晶片数量。 方案升级:方案升级:接触式接近式步进式。 光源升级:光源升级:1985 年之前,以 g 线(436nm)为主,最小线宽为 1um 以上;1985 年以后,出现少量i线(365nm)光刻机,最小线宽0.5um;1990年开始出现DUV光刻机,最小线宽为 0.25um;踏入 21 世纪,193nm 的深紫外线开始使用。 2022 年 06 月 22 日 P.33 请仔细阅读本报告末页声明请仔

112、细阅读本报告末页声明 图表 57:光刻机技术特点 资料来源:集成电路产业全书,国盛证券研究所 图表 58:光刻机技术路径(2020 年后为预测情况) 资料来源:ASML,国盛证券研究所 图表 59:不同产品随着制程节点提升各类光刻技术对应层数的需求比例 图表 60:光刻机技术示意图 资料来源:ASML,国盛证券研究所 资料来源:维基百科,国盛证券研究所 EUV 的采用利好光刻、过程控制(的采用利好光刻、过程控制(ASML、KLA) 。) 。根据 ASML,45K/M 的 logic 产能,每一层需要一台 EUV;100K/M 的 DRAM 产能,每一层需要 1.52 台 EUV。预估 TSMC

113、 N7 使用 7 层;N5 使用 14 层。ASML 预估 EUV 层数 1020 层,目前工艺总层数多达400600 层。 2022 年 06 月 22 日 P.34 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 61:EUV 目标市场范围(2020 年后为预测情况) 图表62:Foundry和DRAM精度仍会不断提升(2020年后为预测情况) 资料来源:ASML,国盛证券研究所 资料来源:ASML,国盛证券研究所 光刻机发展历史,两次技术分水岭奠定格局变化。光刻机发展历史,两次技术分水岭奠定格局变化。20032004 年为第一个分水岭:ASML 选择浸润式,Nikon 选择 15

114、7nm。2010 年为第二个分水岭:EUV 量产,差距拉大。 图表 63:两次技术分水岭奠定光刻机格局 资料来源:集微网,国盛电子整理,国盛证券研究所 2020 年,全球光刻机市场约年,全球光刻机市场约 135 亿美元,占全球半导体制造设备市场亿美元,占全球半导体制造设备市场 21%。光刻机市场一直以来在全球设备市场中的比重都较高,具有较高技术难度,并且单台设备价值量也较高,属于半导体制造设备的“皇冠” 。 光刻机单机价值量高,每年出货数量约光刻机单机价值量高,每年出货数量约 300400 台。台。根据 ASML、Nikon、Canon 三家光刻机财报数据统计,近两年全球光刻机每年出货量大约在

115、 300400 台之间,整体均价约0.3亿美元。其中主要产品是KrF约90100台,ArFi约90100台。近几年EUV出货量在逐步增长,全球仅有 ASML具备供应能力,每年出货 3050台,均价超过 1亿美元。 2022 年 06 月 22 日 P.35 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 光刻机的供给有限,光刻机的供给有限,前三大前三大晶圆制造领先厂商占据大部分需求。晶圆制造领先厂商占据大部分需求。ASML 在 2020 年一共销售 34 台 EUV 光刻机,2021 年 EUV 光刻机的产能将增长到 4550 台。从历史需求端来看,全球 90%以上的 EUV 光刻机由 TS

116、MC、Samsung、Intel 三家采购,其他诸如代工厂 GobalFoundries、存储厂海力士、美光每年最多采购 1 台光刻机。 图表 64:全球 EUV 光刻机出货量(台) 资料来源:ASML,TSMC,Intel 公告数据统计、国盛证券研究所 ASML 主导全球光刻机市场。主导全球光刻机市场。从光刻机格局来看,2020 年 ASML 占据全球光刻机市场84%的市场空间,Nikon 约 7%,Canon 约 5%。ASML 具有高度的垄断地位,并且由于EUV 跨越式的升级进步,ASML 在技术上的领先性更加明显。 图表 65:全球光刻机市场格局(百万美元) 资料来源:ASML,Nik

117、on,Canon 财报统计数据、国盛证券研究所 国内上海微布局前道光刻机设备。国内上海微布局前道光刻机设备。上海微电子装备(集团)股份有限公司主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司于 2002 年成立,2006 年公司光刻机产品注册商标获得国家工商局批准。2008 年十五光刻机重大科技专项通过了国家科技部组织的验收。2009 年交付首台先进封装光刻机产品。2013 年公司国产首台用于 2.5 代 AM-OLED TFT 电路制造的光刻机成功交付用户。2016 年,公司首台暨国内首台前道扫描光刻机交付用户。2018 年,公司 90nm 光刻机项目

118、通过正式验收。 公司建立了产品开发过程的技术创新以及知识产权保护的制度程序与管理组织体系,并于 2015 年通过 GB/T29490-2013 国家知识产权管理体系审核认证,体系涵盖了产品的预研、设计、制造以及市场投放等全过程。 2022 年 06 月 22 日 P.36 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 SSX600 系列步进扫描投影光刻机采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩模台技术,可满足IC前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求。该设备可用于 8 寸线或 12 寸线的大规模工业生产。

119、图表 66:上海微电子 600 系列光刻机 资料来源:上海微官网,国盛证券研究所 图表 67:上海微电子 600 系列光刻机主要技术参数 资料来源:上海微官网,国盛证券研究所 三三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加 刻蚀是刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处理工艺。刻蚀就是利用光刻胶等材料作为掩蔽层,通过物理、化学方法将下层材料中没有被上层遮蔽层材料遮

120、蔽的地方去掉,从而在下层材料上获得与掩膜板图形对应的图形。 2022 年 06 月 22 日 P.37 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 68:刻蚀的目的是把图形从光刻胶转移到待刻蚀的薄膜上 资料来源:中微公司招股说明书、国盛证券研究所 湿法刻蚀:用液体化学剂去除衬底表面的材料。湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在 3um 以后由于线宽控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除和残留物的清洗。 干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把

121、衬底暴露于气态中产生的等离子,与暴露的表面材料发生物理反应、化学反应。离子,与暴露的表面材料发生物理反应、化学反应。 刻蚀主要参数:刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比) 、刻蚀坡面(各向异性、各向同性) 图表 69:刻蚀工艺分类 资料来源:维基百科,国盛证券研究所 2022 年 06 月 22 日 P.38 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 70:不同刻蚀设备主要技术指标 资料来源:维基百科,国盛证券研究所 应用最广泛的刻蚀设备是应用最广泛的刻蚀设备是 ICP 与与 CCP,技术发展,技术发展方向方向是原子层刻蚀(是原子层刻蚀(ALE) 。)

122、。 电容性等离子体刻蚀电容性等离子体刻蚀 CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路)诸如逻辑芯片的栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻蚀等,以及 3D 闪存芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。 电感性等离子体刻蚀电感性等离子体刻蚀 ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器件)硅浅槽隔离(STI) 、锗(Ge) 、多晶硅栅结构、金属栅结构、应变硅(Strained-Si) 、金属导线、金属焊垫(Pad) 、镶嵌式刻蚀金属硬掩模和多重成像技术中的多道刻蚀工艺。 ALE:技术发展方向,能精确刻蚀到原子层(约 0.4n

123、m) ,具有超高刻蚀选择率。应用广泛。 图表 71:电容性等离子体刻蚀反应腔 图表 72:电感性等离子体刻蚀反应腔 资料来源:中微公司招股书,国盛证券研究所 资料来源:中微公司招股书,国盛证券研究所 2022 年 06 月 22 日 P.39 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 73:刻蚀类别 资料来源:维基百科,国盛证券研究所 光刻光刻技术技术中许多先进制程涉及多重图形技术。中许多先进制程涉及多重图形技术。即使是 EUV,波长为 13.5nm,要实现7nm的精度,仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要的刻蚀复杂度、步骤数量也在提升。所以刻蚀设备和

124、化学薄膜设备成为更关键的设备。 图表 74:多重成像技术 图表 75:电感性等离子体刻蚀反应腔 资料来源:中微公司招股书,国盛证券研究所 资料来源:中微公司招股书,国盛证券研究所 图表 76:刻蚀步骤逐渐增加(步骤数量) 资料来源:digitimes,国盛证券研究所 2022 年 06 月 22 日 P.40 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 产业发展趋势:产业发展趋势: (1)0.13um工艺的铜互连技术出现时(300mm时代) ,金属刻蚀比例下降,介质刻蚀的比例大幅上升; (2)30nm 之后,多重图像技术、软刻蚀应用的提升,硅刻蚀(ICP)的占比快速提升。 (3)数十层的

125、金属互联层(后道工艺,BEOL) ,精度一般在 20nm 以上的以 CCP 为主;CMOS 核心器件(前道工艺,FEOL)线宽比较小,往往使用 20nm 以下的 ICP。 (4)EUV 在 foundry/DRAM 的采用,使得刻蚀步骤减少;3D Nand 采用,使得刻蚀步骤增多,高深宽比刻蚀需求增多。 刻蚀设备市场超过刻蚀设备市场超过 130 亿美元,是亿美元,是晶圆设备占比最高的市场。晶圆设备占比最高的市场。2011 年以来,刻蚀在晶圆设备的占比从 11%逐渐提升到 20%以上,2017 年起成为全球晶圆设备中占比最高的装备类别,重要性不断提升。刻蚀设备市场基本是干法刻蚀设备,2020 年

126、全球干法刻蚀设备市场约 137 亿美元,其中介质刻蚀(Dielectric Etch)60 亿美元,导体刻蚀(Conductor Etch)76 亿美元。 图表 77:干法刻蚀市场(百万美元)(20212023 年为预测数据) 图表 78:刻蚀在晶圆设备市场比重提升 资料来源:Gartner,国盛证券研究所 资料来源:Gartner,国盛证券研究所 刻蚀由海外龙头主导,国内公司保持快速增长。刻蚀由海外龙头主导,国内公司保持快速增长。根据 Gartner,全球刻蚀企业前三大分别是 Lam Research、TEL、AMAT,全球市占率合计 91%。国内刻蚀业务前三大企业分别为中微公司、北方华创、

127、屹唐半导体。2021 年国内的刻蚀龙头企业中微公司、北方华创的刻蚀业务都取得较高收入增长,并在规模体量逐步接近全球前五大厂商。 图表 79:全球刻蚀业务收入规模分别(百万美元) 资料来源:Gartner,国盛证券研究所 2022 年 06 月 22 日 P.41 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 80:干法刻蚀市场份额 资料来源:Gartner,国盛证券研究所 从导体刻蚀市场结构看,Lam 一家独大,长期全球市占率超过 50%;其次 AMAT 占据约 30%市场份额。剩下的厂商如日立高新、TEL、KLA、北方华创、SEMES、中微公司等公司合计,在导体刻蚀合计市占率不超过

128、 20%。近两年,国内设备龙头厂商北方华创、中微公司该产品线放量加速,逐步提高半导体设备刻蚀供应链份额。 图表 81:Conductor Etch 市场份额 资料来源:Gartner,国盛证券研究所 从介质刻蚀市场结构看,TEL 一家独大,长期全球市占率超过 50%;其次 Lam 占据接近 40%的市场份额,两家厂商主导整个市场,寡占程度较强。全球介质刻蚀设备供应商还有 SEMES、中微公司、AMAT、Ulvac、屹唐半导体等。中微公司开发了系列介质刻蚀装备,并承担多项重大科研项目,是国内领先的介质刻蚀设备厂商。 2022 年 06 月 22 日 P.42 请仔细阅读本报告末页声明请仔细阅读本

129、报告末页声明 图表 82:Dielectric Etch 市场份额 资料来源:Gartner,国盛证券研究所 北方华创是北方华创是国内领先的半导体高端装备及一体化解决方案供应商。国内领先的半导体高端装备及一体化解决方案供应商。公司深耕于芯片制造刻蚀领域、薄膜沉积领域近 20 年,现已成为国内领先的半导体高端工艺装备及一站式解决方案的供应商。公司立足半导体装备、真空装备、新能源锂电装备及精密元器件构成公司四大核心事业集群,半导体设备品类国内最为完备,客户覆盖中芯国际、华虹、三安光电、京东方等各产业链龙头,营销服务辐射欧、美、亚等全球主要国家和地区。 北方华创北方华创ICP刻蚀机领域国内领先,金属

130、刻蚀刻蚀机领域国内领先,金属刻蚀8英寸打破国外垄断,英寸打破国外垄断,12英寸突破英寸突破28nm以下制程以下制程。北方华创 2005年第一台 8 英寸 ICP刻蚀机在客户端商显,12 英寸刻蚀机在客户端 28nm 实现国产替代,2020 年 12 月,北方华创 ICP 刻蚀机交付突破 1000 腔,标志着国产刻蚀机得到客户广泛认可。 2017 年公司 8 英寸铝金属刻蚀机进入国内主流代工厂生产线,独特的腔室结构和温度控制设计,可大幅提升了设备的稳定性、重复性和生产工艺水平,打破了国际厂商长期垄断 8 英寸刻蚀机的局面;同时公司推出 12 英寸 TiN 硬掩膜刻蚀机,可应用于 28-14nm

131、逻辑制程中。2016 年自主研发的国内首台应用于 14nm 制程的 ICP 刻蚀机NMC612D 进入上海集成电路研发中心,正式迈入 14nm 刻蚀工艺。 图表 83:北方华创刻蚀设备发展进程图 资料来源:公司官网,国盛证券研究所 2022 年 06 月 22 日 P.43 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 84:北方华创刻蚀产品介绍 类别类别 设备设备 晶圆尺寸晶圆尺寸 支持工艺支持工艺 应用领域应用领域 金属金属刻蚀刻蚀 NMC508M 8 英寸铝金属刻蚀机 8 英寸 0.350.35- -0.110.11m m 集成电路;集成电路;200mm200mm 硅片硅片的金

132、属铝和钨的刻蚀工艺 集成电路 NMC612M 12 英寸 氮化钛金属硬掩膜刻蚀机(TiN Metal HardMask) 12 英寸 4040- -14nm14nm 制程制程 IC 的金属干法刻蚀设备;28-14nm 逻辑制程中 TiN MHM,HR 和 M0C 结构刻蚀工艺;RRAM 中Al/TiN,TaN 等刻蚀工艺。 NMC612G 12 英寸刻蚀机 12 英寸 IC 领域的金属铝刻蚀工艺,以及 Micro OLED 领域金属及非金属刻蚀工艺;Al Etch、多晶硅刻蚀、介质刻蚀、Al/Mo/ITO 等金属刻蚀 硅刻硅刻蚀蚀 NMC508C 8 英寸硅刻蚀机 8 英寸 0.350.35-

133、 -0.110.11m m 集成电路;集成电路;200mm200mm 硅片硅片的多晶硅硅栅(poly gate)、浅沟槽隔离(STI)和硅的金属钨化物(WSix)刻蚀 NMC612C 12 英寸硅刻蚀机 12 英寸 90nm90nm- -40nm40nm 干法刻蚀设备;55nm Logic,65nm NOR flash,55nm CIS,90MCU 等芯片集成电路制造领域;适用于 STI,Gate,PAA,CAA,ONO,Zero, AA HM 等多种刻蚀工艺。 NMC612D 12 英寸硅刻蚀机 12 英寸 28-14nm 逻辑制程中 STI、Gate 以及 FinFET 结构刻蚀工艺;3D

134、 NAND 领域 AA、Gate、Spacer 以及台阶、SADP 等刻蚀工艺;DRAM 领域 line cut、etch back、SADP 以及 AA、Gate 等刻蚀工艺;并具备 10-7nm 工艺延伸能力 深硅深硅槽刻槽刻蚀蚀 NMC508DTE 8 英寸硅深槽刻蚀机 8 英寸及以下 8 英寸及以下 IGBT、MOSFET 及 Super Junction 中的Deep Trench 刻蚀 功率器件 化合化合物刻物刻蚀蚀 HSE 系列等离子刻蚀机 8-12 英寸 8 英寸及以下 MEMS 刻蚀,以及 8-12 英寸先进封装硅刻蚀。 先进封装/微机电系统 GSE C200 系列等离子刻蚀

135、机 8 英寸及以下 GaN、SiC、SiO2、Al2O3等材料的刻蚀 功率器件/失效分析/光通信器件 DSE200 系列等离子刻蚀机 8 英寸及以下 8 英寸及以下 IGBT、MOSFET 及 Super Junction 中的Deep Trench 刻蚀 功率器件 GDE C200 系列等离子刻蚀机 GaN、SiC、SiO2、Al2O3等材料的刻蚀 功率器件 BMD P230 等离子去胶机 8-12 英寸 5050- -100100m m 的的 PitchPitch;光刻胶去除工艺、Descum 工艺、Plasma 表面处理工艺等 先进封装 ELEDE 380E PSS 刻蚀机 2-6 英寸

136、 LED 领域 PSS 刻蚀 LED 半导体照明 介 质介 质刻蚀刻蚀 ELEDE 380G+/G380C 刻蚀机 2-6 英寸 电极刻蚀(刻蚀材料 GaN, AlGaInP/GaP),隔离刻蚀(刻蚀材料 GaN, GaAs),钝化层刻蚀(刻蚀材料 SiO2, SiNX),介质反射层刻蚀(刻蚀材料 SiO2 和 TiO2),金属阻挡层刻蚀(刻蚀材料 TiW)。 资料来源:公司官网,国盛证券研究所 中微公司是中微公司是国内领先、世界排名前列的半导体高端设备制造商。国内领先、世界排名前列的半导体高端设备制造商。公司主营业务是刻蚀设备和 MOCVD。刻蚀机用于半导体制程,客户涵盖台积电、中芯国际、海

137、力士、华力 2022 年 06 月 22 日 P.44 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 微、联华电子、长江存储等;MOCVD 用于 LED 外延片制程,客户涵盖三安、华灿、乾照等。 中微公司刻蚀产品线中微公司刻蚀产品线逐步逐步成熟,从成熟,从 CCP 向向 ICP 快速开拓。快速开拓。中微公司 CCP 刻蚀设备应用于国际一线客户从 65nm 到 5nm、64 层及 128 层 3D NAND 晶圆产线及先进封装生产线,中微公司 ICP 刻蚀设备已经趋于成熟,在 10 家客户生产线进行验证,并逐步取得客户的重复订单。中微公司 CCP 刻蚀设备包括双反应台 Primo AD-RI

138、E 和单反应台的HD-RIE,覆盖了 65 纳米、45 纳米、32 纳米、28 纳米、22 纳米、14 纳米、7 纳米到 5 纳米关键尺寸的众多刻蚀应用;中微公司的 ICP 设备 Nanova 已经累计交付超过 100台反应腔,在领先的逻辑芯片、DRAM 和 Nand 厂商产线实现大规模量产。 图表 85:中微公司刻蚀产品线布局 资料来源:公司公告、国盛证券研究所 屹唐股份拥有干法刻蚀设备 paradigmE 系列,采用专有的法拉第屏蔽电感耦合等离子 (ICP) 源与蚀刻偏置控制相结合,设备采取双晶圆反应腔、双反应腔产品平台设计,主要可用于 65 纳米到 5 纳米逻辑芯片、10 纳米系列 DR

139、AM 芯片以及 32 层到 128 层 3 闪存芯片制造中若干关键步骤的大规模量产。 四四、薄膜设备:用于沉积物质,在设备市场占比薄膜设备:用于沉积物质,在设备市场占比较高较高 薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包括金属、氧化物、氮化物等不同薄膜。括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。 PVD和和CVD是主要的薄膜设备,是主要的薄膜设备,ALD是产业技术发展趋势。是产业技术发展趋势。在半

140、导体领域,薄膜主要分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄膜常用 PVD(主要是溅射) 。其他常用的镀膜方式包括 ECD、SOD、MOCVD、Epitaxy 等。在薄膜设备整体中,CVD 的使用越来越广泛,基于 CVD 发展的 ALD 更是行业升级的技术方向。 2022 年 06 月 22 日 P.45 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 86:薄膜设备分类 资料来源:Gartner,国盛证券研究所 CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。:用于沉积介质绝缘层、半导体材料、金属薄膜。典型的 CVD 流程包括气体输入、气体对流、气象扩散、表面吸附、

141、表面反应、表面脱附及薄膜成核生长。 (1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。 (2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆盖填充能力。 (3)90nm 以后,等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用下,降低反应温度,提升薄膜纯度,加强薄膜密度。 (4)45nm 以后,高介电材料(High k)和金属栅(Metal Gate) ,引入原子层沉积(ALD)设备,膜层达到纳米级别。(a)高介电材料(High k)替代 SiO2,用于制备 MOS 器件的栅介质层,需要引入 ALD。 (b)多晶硅同步地被替

142、代为金属栅(Matal Gate)电极,也用 ALD 设备制备。 图表 87:典型 CVD 工艺流程 图表 88:ALD 示例 资料来源:集微网,国盛证券研究所 资料来源:集微网,国盛证券研究所 2022 年 06 月 22 日 P.46 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 89:典型 CVD 工艺流程 图表 90:常见的薄膜材料制备工艺 资料来源:集成电路产业全书,国盛证券研究所 资料来源:集成电路产业全书,国盛证券研究所 物理气相沉积(物理气相沉积(PVD) :利用蒸发或溅射,实现原子从源物质到沉底材料表面的物质转) :利用蒸发或溅射,实现原子从源物质到沉底材料表面的物

143、质转移,沉积形成薄膜。移,沉积形成薄膜。物理气相沉积是一种物理气相反应生长法,沉积过程是在真空或低压气体放电条件下,涂层物质源是固态物质,经过“蒸发或溅射”后,在零件表面生成与基材性能完全不同的新的固态物质涂层。PVD 具有成膜速率高、镀膜厚度及均匀性可控好、薄膜致密性好、粘结力强及纯净度高等优点。 PVD 可以分为真空蒸镀(可以分为真空蒸镀(Vacuum Evaporator)和溅射()和溅射(Sputtering) 。) 。PVD 发展初期以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是难以蒸发某些金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高,

144、溅射设备取代了蒸镀设备。 图表 91:CVD 和 PVD 技术比较 图表 92:CVD 和 PVD 技术比较示意图 资料来源:集成电路产业全书,国盛证券研究所 资料来源:维基百科,国盛证券研究所 2020 年全球薄膜设备市场达到年全球薄膜设备市场达到 138 亿美元,亿美元,占占 IC 制造设备制造设备 21%;其中主要是其中主要是 CVD和和 PVD,合计占,合计占 IC 制造设备制造设备 18%。其中,CVD 市场规模高度 89 亿美元,主流是设备包括 PECVD、Tube CVD、LPCVD 和 ALD 等。整个薄膜市场市占率最高的是 AMAT。高端领域如 ALD 受 ASM、TEL 和

145、 Lam 等海外龙头主导。国内布局 IC 制造领域薄膜设备的主要国产厂商包括北方华创和沈阳拓荆。 2022 年 06 月 22 日 P.47 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 93:全球沉积设备市场趋势(百万美元) 资料来源:Gartner,国盛证券研究所 图表 94:2020 年沉积设备市场结构(百万美元) 资料来源:Gartner,国盛证券研究所 CVD 市场主要由海外龙头主导,国内市场主要由海外龙头主导,国内北方华创、沈阳拓荆北方华创、沈阳拓荆积极布局积极布局。根据 Gartner 数据,全球 CVD 市场前五大供应商包括 AMAT(28%) 、Lam Resear

146、ch(25%) 、TEL(17%) 、Kokusai(原日立高新,8%) 、ASM(11%) 。国内半导体设备龙头北方华创、沈阳拓荆在该领域也有布局。 2022 年 06 月 22 日 P.48 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 95:CVD 市场份额 资料来源:Gartner,国盛证券研究所 从从 PVD 市场格局来看,市场格局来看,AMAT 一家独大,长期占据约一家独大,长期占据约 80%的市占率。的市占率。PVD 市场主要供应商包括 AMAT、ULVAC、Evatec、KLA、TEL、北方华创等。根据 Gartner,2020 年北方华创的半导体 PVD 设备全球市

147、占率为 3%,属于国内领先地位。随着国产替代加速,北方华创 PVD 业务有望加速成长。 图表 96:PVD 市场份额 资料来源:Gartner,国盛证券研究所 北方华创北方华创在集成电路领域在集成电路领域可提供刻蚀机、可提供刻蚀机、PVD 设备、单片退火设备、设备、单片退火设备、ALD 设备、氧化设备、氧化/扩散炉、扩散炉、LPCVD、单片清洗机以及槽式清洗机等产品,覆盖刻蚀、薄膜、扩散、清洗、单片清洗机以及槽式清洗机等产品,覆盖刻蚀、薄膜、扩散、清洗四大工艺模块,为集成电路领域提供先进的工艺解决方案。四大工艺模块,为集成电路领域提供先进的工艺解决方案。由北方华创自主研发的面向先进制程的等离子

148、硅刻蚀机、金属刻蚀机、TiN hardmask PVD、Al Pad PVD、ALD、单片退火系统以及 SiNx LPCVD 等已逐步进入集成电路主流代工厂供应链体系。 引领引领国产高端集成电路国产高端集成电路 PVD 薄膜工艺,公司多项产品进入国际供应链体系。薄膜工艺,公司多项产品进入国际供应链体系。公司 PVD产品布局广泛,近几年陆续推出了 TiN PVD、AIN PVD、Al Pad、ALD 等 13 款自主研发的 PVD 产品并成功产业化,可应用于集成电路、先进封装、LED 等领域。公司自主设计和生产的 exiTin H630 TiN 金属硬掩膜 PVD 系统是国内首台专门针对 55-

149、28nm 制程 12 寸金属硬掩膜设备。2016 年,公司 28nm/12英寸晶圆生产的 TiN Hardmask PVD进入国际供应链体系。2017 年公司紧随市场需求,更新设备工艺,推出适用于 28-14nm 制程的大马士革工艺的 exiTin H430 TiN Hardmask PVD 系统。 LPCVD 设备设备在在半导体薄膜淀积半导体薄膜淀积中中应用最为广泛应用最为广泛,具更低成本及更优性能,具更低成本及更优性能。该工艺是通过将反应器内进行沉积反应时的操作压力降低的一种 CVD 反应。和常压的 CVD 相比,LPCVD 设备有更低的综合成本、更高的产能和更好的薄膜性能。北方华创先后推

150、出 2022 年 06 月 22 日 P.49 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 THEORIS 302 LPCVD、HORIS L6371 多功能 LPCVD 等多个系列产品。 公司产品技术上公司产品技术上不断不断突破,突破,下游导入持续取得新进展:下游导入持续取得新进展: 硬掩板(硬掩板(Had Mask) PVD 应用较为广泛。应用较为广泛。硬掩膜为金属互连线提供精准控制和区域处理:硬掩膜工艺就是采用选定的图像、图形或物体对待处理图像(全部或局部)进行遮挡,来控制图像处理的区域或处理过程,广泛应用于 IC 制备流程的前段(FEOL)和后段工艺(BEOL)。2015 年,北

151、方华创 TiN PVD 沉积系统获得海外主流 IC厂订单,并正式进入国际先进IC大厂。由北方华创微电子自主设计和生产的exiTin H630 TiN金属硬掩膜物理气相沉积(Metal hardmask PVD)系统是专门针对55-28nm制程 12 寸金属硬掩膜设备。 铝衬垫(铝衬垫(Al Pad)PVD 60-28nm 导入导入客户客户,更先进制程支持加速验证更先进制程支持加速验证。芯片器件用使用 Al Pad PVD 用于其后道金属互联,提供电子信号、微链接等作用。Al Pad物理气相沉积系统作为集成电路工艺中的一道重要工序,主要应用于 Bond pad 和Al interconnect

152、工艺。公司于 2015 年推出 eVictor A830 Al Pad 物理气相沉积系统(配置 8 个工艺模块,可据客户需求多样化配置) 。该设备目前已进入等国内、国外一线厂商,被应用于 9028nm制程产线,更先进制程正加速验证。2018年北方华创 Al Pad PVD 成功进驻上海集成电路研发中心。 铜互联(铜互联(CuBS) PVD 已在客户获得已在客户获得放量放量订单。订单。金属铜可以降低互连线电阻率,因此铜互联技术被广泛使用。北方华创是 02 转向“14-7nm CuBS 多工艺腔室集成装备研发及产业化”项目执行单位。根据招投标统计,公司铜互联 PVD 已经实现突破,打破 AMAT

153、在该领域的垄断,极大打开公司在 PVD 领域的目标市场。 12英寸氮化硅沉积设备导入下游龙头企业。英寸氮化硅沉积设备导入下游龙头企业。2020年4月 7日,北方华创 THEORIS SN302D 型 12英寸氮化硅沉积设备 Move in 国内集成电路制造龙头企业。该设备的交付,意味着国产立式 LPCVD 设备在先进集成电路制造领域的应用拓展上实现重大进展。 12 英寸英寸 ALD 已实现商用。已实现商用。北方华创自 2014 年开始布局 ALD 设备,2017 年推出量产型单片 ALD 设备并首次交付。公司 Promi 系列 ALD 设备是用加热的方式,通过在工艺循环周期内分步向真空腔内添加

154、前驱体、实现对膜层厚度的精确控制,可用于沉积 Al2O3、HfO2、ZrO2、TiO2、TiN、TaN 和 ALN 等多种薄膜。 图表 97:北方华创 PVD 机台 eVictor AX30 Al pad PVD 图表 98:北方华创 LPCVD机台 THEORIS SN302D 资料来源:公司官网、国盛证券研究所 资料来源:公司官网、国盛证券研究所 2022 年 06 月 22 日 P.50 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 拓荆科技拓荆科技拥有三个完整系列拥有三个完整系列 CVD 产品线,累计出货量超过产品线,累计出货量超过 150 台套。台套。拓荆科技成立于 2010 年

155、 4 月,多次承担国家专项,公司拥有 12 英寸 PECVD(等离子体化学气相沉积设备) 、ALD(原子层薄膜沉积设备) 、SACVD(次常压化学气相沉积设备)三个完整系列产品。沈阳拓荆 2012 年推出 12 英寸多反应腔 PF-300T;2014 年获得中芯国际首台量产机台 PF-300T订单;2016 年首台 ALD 出厂到客户端;2017 年首台 3D Nand PECVD 出厂到客户端;2018 年 12 英寸 ALD 获得客户端 14nm 工艺验证;截至 2021 年 9 月,公司研发的 PECVD、ALD 及 SACVD 设备系列产品已累计发货超 150 台,公司技术人员共 31

156、8 人,占比达 74.13%。 公司半导体薄膜沉积设备技术指标已达到国际厂商设备水准。公司半导体薄膜沉积设备技术指标已达到国际厂商设备水准。公司具体产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个系列。在 PECVD 设备领域,公司产品可以适配180-14nm 逻辑芯片、19/17nmDRAM 等工艺需求,能够兼容 SiO2、SiN 等多种反应材料;在 ALD 设备领域,公司的 PE-ALD 目前已适配 55-14nm 逻辑芯片制造工艺需求,可以沉积 SiO2 和 SiN 材料薄膜;在 SACVD 设备领域,公司产品可以沉积

157、BPSG、SAF材料薄膜,适配 12 英寸 40/28nm及 8英寸 90nm以上的逻辑芯片工艺需求。 图表 99:拓荆科技主要产品情况 产品型号产品型号 应用领域应用领域 研发研发/生产阶段生产阶段 产品图示产品图示 12 英寸 PECVD 设备 PF-300T PF-300T 型号主要应用于 28nm 以上逻辑芯片及 FLASH、DRAM 存储芯片制造,TSV 封装和 OLED 制造领域。可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC 等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF-300T eX PF-300T eX

158、设备型号主要应用于 14nm-28nm 逻辑芯片及 FLASH、DRAM 存储芯片制造。可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF-300T pX PF-300T pX 设备型号主要应用于 10nm 以下逻辑芯片制造。可以沉积通用介质材料薄膜及先进介质材料薄膜。 研发中 8 英寸 PECVD 设备 PF-200T PF-200T 系列设备主要应用于 90nm 以上集成电路前道工艺及 3D TSV先进封装环节。可以沉积 SiO2、SiN、SiON、TEOS 等介质材料薄膜。此设

159、备可实现与 12 英寸 PECVD 设备兼容,具有高产能,低生产成本优势。 产业化应用 12 英寸HTMPECVD 设备NF-300H NF-300H 系列设备主要应用于存储芯片制造,目前可适用于 32-128层 3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造。NF-300H 设备成功突破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四大关键技术挑战。可以沉积 NO stack、Thick TEOS 等介质材料薄膜。 产业化验证 TFLITE TFLITE 系列设备主要应用于 LED 芯片制造领域,该设备通过反应腔、沉积站的优化设计,具有高产能、高安全性优势。可以沉积S

160、iO2、SiN 材料薄膜。 产业化验证 12 英寸 PE-ALD 设备 FT-300T FT-300T(PE)系列设备主要应用于逻辑芯片 28-14nm 纳米 SADP、STI Liner 工艺,55-40nm BSI 工艺的晶圆制造、2.5D、3DTSV 先进封装领域。FT-300T 具有优异的薄膜均匀性和保形性,特别适合高深宽比晶圆孔洞的薄膜沉积。可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化应用 12 英寸 Thermal-ALD 设备 FT-300T FT-300T(Thermal)系列设备主要应用于逻辑芯片 28nm 以下制程。FT-300T 具有优异的薄膜均匀性和纯度,薄膜

161、内杂质含量少,刻蚀性能优越,同时也适合高深宽比晶圆孔洞的薄膜沉积。可以沉积Al2O3、AlN 等多种金属化合物薄膜材料。 研发中 12 英寸 ALD 设备 FT-300H FT-300H 系列设备主要应用于 128 层以上 3D NAND FLASH 存储芯片、19/17 nmDRAM 存储芯片晶圆制造,可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化验证 12 英寸 SACVD 设备 SA-300T SA-300T 设备主要应用于 40-28nm 制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料薄膜。 产业化应用 2022 年 06 月 22 日 P.51

162、请仔细阅读本报告末页声明请仔细阅读本报告末页声明 8 英寸 SACVD 设备 SA-200T SA-200T 设备主要应用于 90nm 以上制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料薄膜。 产业化应用 资料来源:拓荆科技公司官网,拓荆科技招股说明书,国盛证券研究所 中微公司研发布局薄膜市场。中微公司研发布局薄膜市场。中微公司持有拓荆科技 8.4%股权,是其第三大股东。根据公司定增公告,中微公司 CVD 研发项目包括 HPCVD、导体薄膜 LPCVD、ALD、EPI 等设备的开发及工艺应用开发。项目由公司副总裁级主管人员牵头主持,其拥有 25 年以上的半导体从业

163、经验,在主机平台和 MOCVD 设备上有着丰富的经验。 图表 100:中微公司战略规划 资料来源:中微公司公告,国盛证券研究所 五五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用清洗设备:去除晶圆片表面杂质,各制程前后均需使用 清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除,清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除,以获得所需洁净表面的工艺设备。以获得所需洁净表面的工艺设备。从工艺应用上来说,清洗机目前已广泛应用于集成电路制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械抛光后的清洗和金属沉积后清洗等各个环节

164、。 升级方向:高效且无损。升级方向:高效且无损。在过去的 25 年中,随着制程升级,晶圆湿法清洗变得越来越复杂和高效。清洗需要强力有效,还要减少对晶圆表面的损伤。 清洁步骤占半导体工艺所有处理步骤清洁步骤占半导体工艺所有处理步骤 1/3,最多已经达到,最多已经达到 200 次。次。几乎所有制程的前后都需要清洗环节。 2022 年 06 月 22 日 P.52 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 101:清洗原理 资料来源:盛美上海招股书,国盛证券研究所 图表 102:清洗环节 资料来源:盛美上海招股书,国盛证券研究所 根据 Gartner,2018 年全球清洗设备市场规模为

165、 34.17 亿美元,2019 年受全球半导体行业景气度下行的影响,下降为 30.49 亿美元。预计 2021 年全球半导体行业复苏,半导体清洗设备行业将呈现增长趋势,市场规模预计在 2024 年达到 31.9 亿美元。市场份额来看,日本迪恩士一家份额达到 45%,迪恩士、东京电子、SEMES 三家合计份额超过 85%。 图表 103:全球半导体清洗设备规模(2021-2024 位预测数据) 图表 104:2020 年全球半导体清洗设备市场格局 资料来源:Gartner,国盛证券研究所 资料来源:Gartner,国盛证券研究所 盛美上海盛美上海国内半导体清洗设备龙头。国内半导体清洗设备龙头。2

166、005 年,美国 ACMR 在上海投资设立公司的前身盛美有限,并将其前期研发形成的半导体专用设备相关技术使用权投入盛美有限。此后公司在半导体专用设备领域深耕多年,已在半导体专用设备多个细分领域实现突破,公司的兆声波单片清洗设备、单片槽式组合清洗设备及铜互连电镀工艺设备领域的技术水平达到国际先进水平,主要产品也得到以海力士、中芯国际、长江存储、长电科技等为代表的国内外主流半导体厂商的认可。 05540200224市场规模(亿美元)迪恩士, 45.1%东京电子, 25.3%SEMES, 14.8%Lam Research, 12.5

167、%其他, 2.3% 2022 年 06 月 22 日 P.53 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 持续研发投入和技术积累,产品线逐步丰富。持续研发投入和技术积累,产品线逐步丰富。公司经过多年持续的研发投入和技术积累,先后开发出了单片清洗、槽式清洗以及单片槽式组合清洗等清洗设备,用于芯片制造的前道铜互连电镀设备、后道先进封装电镀设备,以及用于先进封装的湿法刻蚀设备、涂胶设备、显影设备、去胶设备、无应力抛光设备及立式炉设备等。 至纯科技至纯科技深耕高纯工艺系统二十余年,国内高纯工艺系统的解决方案供应商。深耕高纯工艺系统二十余年,国内高纯工艺系统的解决方案供应商。至纯科技成立于 20

168、00年,通过 20多年在行业内的深耕,公司在高纯工艺系统领域已经形成了较强竞争优势,主要服务于一线集成电路用户,竞争对手也均为国际厂商。在湿法装备领域,公司近年投入高强度资源进行自主研发,已经具备了湿法工艺全系列的设备。公司主营业务包括高纯工艺系统、半导体湿法清洗设备研发、光传感应用及相关光学元器件的研发、生产和销售。 公司产品包括高纯工艺系统、半导体湿法清洗设备、光纤传感器及光电子元器件、晶公司产品包括高纯工艺系统、半导体湿法清洗设备、光纤传感器及光电子元器件、晶圆再生业务。圆再生业务。高纯工艺系统提供精密制造所需的各类高纯介质,系统的前端连接高纯介质储存装置,系统的终端连接客户自购的工艺生

169、产设备。半导体湿法设备包含湿法槽式清洗设备及湿法单片式清洗设备,随着制程的升级,晶圆清洗步骤也更加复杂,清洗设备及工艺也在不断迭代。光纤传感器及光电子元器件方面,是由子公司波汇科技研发、生产及销售。晶圆再生领域,公司合肥晶圆再生项目基地基础建设完成,晶圆再生和腔体部件清洗及表面处理项目也已经基本通线待试生产。 图表 105:至纯科技主要产品 资料来源:至纯科技招股书,国盛证券研究所 半导体设备半导体设备业务发力,湿法设备持续放量。业务发力,湿法设备持续放量。至纯科技 2021年湿法设备订单达到 11.2 亿元,yoy+111.3%。公司自 2015 年开始布局湿法设备,2018 年首次拿到亿元

170、级别订单,2020 年订单超过 5 亿,2021 年超过 11 亿元,订单的高速增长凸显公司技术实力。公司在 28nm 节点已获得全部工艺设备订单,在 14nm 以下制程也获得 4 台湿法设备订单。2021 年公司单片湿法设备和槽式湿法设备全年出货超过 97 台。12 英寸湿法设备新增 2022 年 06 月 22 日 P.54 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 订单金额超过 6 亿元,其中单片式湿法设备新增订单金额超过 3.8 亿元。 六六、过程控制:过程控制:制造过程的准确性检测制造过程的准确性检测 过程控制:过程控制:半导体晶圆制造过程中不同工艺之后,往往需要进行尺寸测量

171、、缺陷检测等,用于工艺控制、良率管理,要求快速、准确。尺寸测量、缺陷检测等应用于每道制程工艺之后。IC 量测设备用于工艺控制、良率管理,检测要求快速、准确、非破坏。IC 量测在发展过程中,在尺寸微缩、复杂 3D、新型材料方面面临各类技术难点,面对诸如存储、CIS、化合物半导体等不同半导体检测等多种需求不断升级。IC 量测设备的技术类别包括探针显微镜、扫描/透射电镜、光学显微镜、椭偏/散射仪等,技术发展方向包括延续现有的非破坏测量技术,电镜方面推进并行电子束技术,散射仪向 EUV、X 射线延伸以缩小波长,并联合多种测量手段和机器学习实现混合测量等。 图表 106:区分过程控制(检测、测量)和 A

172、TE(测试)(2019 年市场空间) 资料来源:Gartner,国盛电子整理,国盛证券研究所 尺寸测量:尺寸测量:测量关键尺寸(CD critical dimension) 、膜厚度(thickness) 、应力(stress) 、折射率(refractive index) 、阶梯覆盖(step coverage) 、接触角度(contact-angle) 无图形缺陷检测:无图形缺陷检测:颗粒(particle) 、残留物(residue) 、刮伤(scratch) 、警惕原生凹坑(COP)等等。 有图形缺陷检测:有图形缺陷检测:短线(break) 、线边缺陷(bite) 、桥接(bridge

173、) 、线形变化(Deformation)等等。 2022 年 06 月 22 日 P.55 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 107:检测缺陷&量测尺寸 资料来源:KLA 公司公告,国盛证券研究所 图表 108:测量、检测分类 资料来源:KLA 公司公告,国盛证券研究所 图表 109:不同环节关键过程控制指标 资料来源:集微网,国盛证券研究所 过程控制在过程控制在 IC 制造设备占比约制造设备占比约 1113%,持续有升级需求。,持续有升级需求。2020 年全球过程控制设备市场空间约 73 亿美元,其中光刻相关(套刻误差量测、掩膜板测量及检测等)相关需求约 20 亿美元、

174、缺陷检测需求约 39 亿美元、膜厚测量需求约 11 亿美元。过程控制市场中在全球市场比例基本维持在 1113%之间,相对稳定,随着制程微缩、3D 堆叠推进,晶圆制造对于量测、检测需求不断增加,精度要求也不断提高,过程控制设备持续有升级需求。 离子注入扩散CMP蚀刻光学显影金属介电层1薄膜厚度2片电阻3薄膜应力4折射率5掺质浓度6未图案化的表面缺陷7图案化的表面缺陷8临界尺寸9阶梯覆盖10重迭对准11电容电压12接触角度质量检验薄膜 2022 年 06 月 22 日 P.56 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 110:全球过程控制市场(百万美元) 资料来源:Gartner,

175、国盛证券研究所 图表 111:过程控制在晶圆设备市场比重 资料来源:SEMI,国盛证券研究所 全球过程控制市场主要由全球过程控制市场主要由海外龙头海外龙头 KLA 主导主导。根据 SEMI,全球过程控制主要赛道由海外厂商主导并垄断,KLA 在大多细分领域具有明显优势,此外 AMAT、ASML、Nova、Hitachi 也有所布局。国内公司上海精测、睿励科学、中科飞测、赛腾股份等主要布局。 2022 年 06 月 22 日 P.57 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 112:过程控制细分市场(百万美元)(2021 年为预测数据) 图表 113:2020 年全球过程控制市场格

176、局 资料来源:Gartner,国盛电子整理,国盛证券研究所 资料来源:Gartner,国盛证券研究所 上海精测上海精测增资加速布局增资加速布局,聚焦聚焦半导体半导体前道前道测试设备测试设备。上海精测成立于2018年7月,主要布局半导体前道测试,以椭圆偏振技术为核心开发了适用于半导体工业级应用的膜厚测量以及光学关键尺寸测量系统。上海精测半导体技术有限公司常务副总经理马骏,原任天马微电子助理总经理。在 2019年 9月增资 5.5亿的公告计划中,马骏认缴出资额 2500 万元,与上海精测高度绑定。2020 年 12 月底,公司定增再次增资上海精测,增资完成后,上海精测注册资本将由 7.5 亿元增加

177、至 13.7 亿元。 上海精测上海精测全面全面布局膜厚布局膜厚及及 OCD 检测、检测、SEM 检测检测等技术方向等技术方向。公司产品规划路径清晰,技术覆盖面齐全。在膜厚方面,上海精测已经推出了膜厚检测设备、OCD 检测设备等多款半导体测量设备。技术演进路径从膜厚检测的 EFILM 200UF 到 EFILM 300IM,再到 EFILM 300SS/DS,再到 OCD 测量的 EPROFILE 300FD,功能更加丰富,精密度逐渐提高。在电子光学 SEM检测方向,公司已于 2020年底交付首台电子束检测设备、2021年交付首台 OCD 设备。 首款半导体电子束检测设备首款半导体电子束检测设备

178、 2020 年底正式交付。年底正式交付。随集成电路工艺节点推进,光学缺陷检测设备已无法满足大规模生产和先进制程开发需求。上海精测从自主研发的电子束检测设备 eViewTM全自动晶圆缺陷复查设备,采用了扫描电子显微镜技术,具有超高分辨率,可用于 10 x nm 及以下集成电路制程的工艺缺陷自动检测。此外,设备搭载可自主开发的基于深度神经网络的AI算法,提升缺陷分类准确度;运用全新超低压EDSX射线探测技术,实现轻量元素高分辨率解析。这一设备也是国内首台拥有完全自主知识产权的半导体前道检测设备。 2022 年 06 月 22 日 P.58 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 1

179、14:公司电子束检测设备 eViewTM 全自动晶圆缺陷复查设备 资料来源:上海精测官网,国盛证券研究所 2021 年,公司出货年,公司出货国内首台国内首台 OCD 设备。设备。2021 年 7 月 13 日,公司首台 12 寸独立式光学线宽测量设备(OCD)与国内唯一 12 寸全自动电子束晶圆缺陷复查设备(Review SEM)顺利出机。12 寸独立式光学线宽测量机台(OCD)是该类型的国内首台机台,主要用于 45nm 以下、特别是 28nm 平面 CMOS 工艺的量测,并可以延伸支持上述先进工艺节点的快速线宽测量。EPROFILE 300FD 测量系统拥有完全自主知识产权,包括宽谱全穆勒椭

180、偏测头、对焦对位系统、系统软件等核心零部件均为自主研发,是真正意义上的高端国产化机台 睿励科学成立于睿励科学成立于 2005 年,专注于半导体量测检测设备。年,专注于半导体量测检测设备。睿励的主营产品为光学膜厚测量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。睿励自主研发的 12 英寸光学测量设备TFX3000系列产品,已应用在65/55/40/28纳米芯片生产线并在进行了14 纳米工艺验证,在 3D存储芯片产线支持 64 层 3DNAND芯片的生产,并正在验证 96层 3DNAND 芯片的测量性能。2021 年年 3 月,睿励获得中微公司月,睿励获得中微公司 1 亿元投资,其他股亿元

181、投资,其他股东包括东包括浦东科创、张江科投、国家大基金、上海创投、上海国盛等一众知名产业投资浦东科创、张江科投、国家大基金、上海创投、上海国盛等一众知名产业投资机构机构。 2021 年 4 月 18 日,睿励首台自主研发的高精度光学缺陷检测设备(WSD200)装箱出货,交付国内知名客户,这是睿励研发的光学缺陷检测设备进入集成电路晶圆缺陷检测市场的重大突破。 2021 年 6 月,公司自主研发的第三代光学膜厚测量设备 TFX4000i 交付设备。相对于早已实现批量生产的 TFX3000P,TFX4000i 延续使用了与 TFX3000P 相同的主框架及软件架构,最大程度保持了二代产品的优良测量性

182、能和可靠性,同时 TFX4000i 新增加了反射测量模块和深紫外测量模块,具有更宽的光谱范围,涵盖了更广泛的工艺段应用,可以满足更先进的工艺要求。 中科飞测总部位于深圳龙华区,自主研发针对生产质量控制的世界领先的光学检测技中科飞测总部位于深圳龙华区,自主研发针对生产质量控制的世界领先的光学检测技术,以工业智能检测设备为核心产品。术,以工业智能检测设备为核心产品。公司最具代表的产品和服务有:三维形貌量测系统 SKYVERSE-900 系列,表面缺陷检测系统 SPRUCE 系列,智能视觉缺陷检测系统BIRCH 系列,3C 电子行业精密加工玻璃手机外壳检测系统 TOTARA 系列,公司产品已经获得国

183、内多家顶尖先进封装厂商的设备验收及批量订单,填补了国内集成电路先进封装检测设备在高端市场的空白。 2022 年 06 月 22 日 P.59 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 115:中科飞测系列产品覆盖 IC 前道和先进封装的各种检测需求 资料来源:中科飞测公司官网,国盛证券研究所 七、七、测试测试设备设备:用于测试晶圆片及成品用于测试晶圆片及成品 半导体测试包括晶圆允收测试(半导体测试包括晶圆允收测试(WAT) 、晶圆检测() 、晶圆检测(CP) 、成品测试() 、成品测试(FT) 。WAT 环节涉及测试机、分选机、探针台;CP 由测试机、探针台搭配完成;FT 涉及测

184、试机、分选机搭配完成。 晶圆检测(晶圆检测(CP)是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对晶圆上的裸芯片进行功能和电参数测试。 成品测试(成品测试(FT)是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成后的芯片进行功能和电参数测试。 图表 116:集成电路测试设备主要功能 测试机 分选机 探针台 芯片设计 晶圆样品、封装样品测试 晶圆样品、封装样品测试 晶圆样品、封装样品测试 晶圆制造 晶圆片测试 - 传送、标记 封装测试 封装成品测试 传送、标记、分选、收料或编带 - 资料来源:华峰测控招股说明书、国盛证券研究所 测试机行业面临的测试任务日益复杂,测试机的测试

185、能力和配置需求都在提高。测试机行业面临的测试任务日益复杂,测试机的测试能力和配置需求都在提高。随着集成电路管脚数增多、测试时间增长,包括华峰测控在内的测试机企业越来越多地采用多工位并测的方案来降低测试时间,推出测试覆盖面更广、资源更多的测试设备,不断提高测试系统的可靠性和稳定性,以降低客户平均到每颗器件的测试成本。 测试技术要求不断提高。测试技术要求不断提高。测试产品技术发展趋势主要包括: (1)并行测试数量和测试速度的要求不断提升; (2)功能模块需求增加; (3)对测试精度的要求提升; (4)要求使用通用化软件开发平台; (5)对数据分析能力提升 全球半导体测试设备市场保持稳步增长,全球半

186、导体测试设备市场保持稳步增长,其中其中测试机占比最高。测试机占比最高。根据 VLSI,全球半导体后道测试设备市场(含测试机、分选机、探针台)规模约 50 亿美元。检测设备市场空间大,包括 CP 测试和 FT 测试在内的半导体测试设备占半导体设备市场空间15%20%。整个测试设备市场中,测试机比重最高,分选机与探针台相对较少。测试 2022 年 06 月 22 日 P.60 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 机按测试对象包括模拟、混合、数字、SOC、存储器测试机等市场。 半导体测试设备市场呈现寡头垄断格局半导体测试设备市场呈现寡头垄断格局。集成电路检测在测试精度、速度、效率和可靠

187、性等方面要求高。全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业发达国家厂商手中,市场格局呈现泰瑞达、爱德万、科休、科利登等四家厂商寡头垄断。各家厂商在检测设备侧重点也有所区别,如泰瑞达(Teradyne)主要产品为测试机,爱德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机,东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中艺主要产品为分选机。爱德万和泰瑞达在全球测试设备合计市场份额达到 70%以上。 华峰测控华峰测控国内半导体测试设备龙头,国内半导体测试设备龙头,SoC 及大功率测试逐步突破及大功率测

188、试逐步突破。公司是一家聚焦模拟和混合信号测试设备企业,主要面向集成电路封测、晶圆制造和集成电路设计企业等客户。公司主要产品为半导体自动化测试系统和测试系统配件,公司的测试系统包括 STS8200 系列、STS8250 系列和 STS8300 系列;测试系统配件主要包括浮动 V/I 源表、时间测量、数字测量、及电器控制、交流 V/I 源表等关键测试模块。 图表 117:华峰测控主要产品系列 资料来源:华峰测控招股说明书,国盛证券研究所 公司已成长为国内最大的半导体测试系统本土供应商,也是为数不多进入国际封测市公司已成长为国内最大的半导体测试系统本土供应商,也是为数不多进入国际封测市场供应商体系的

189、中国半导体设备厂商。场供应商体系的中国半导体设备厂商。目前全球半导体专用设备生产企业主要集中于欧美和日本等,中国半导体专用设备自给率低。为推动我国半导体专用设备制造的技术升级。公司通过承担 02 专项自主研发的 STS 8200 模拟器件测试系统、高端模拟混合电路测试系统已得到客户认可和使用。 新产能顺利释放,加大新产品布局投放。新产能顺利释放,加大新产品布局投放。2021 年 9 月,公司天津产业基地正式启用。随着新基地的投入使用,公司产能瓶颈问题将得到大幅解决,并为公司研发、生产能力更上一台阶奠定基础。公司持续推出新产品、新测试模块,以适应于 SoC、GaN、大功率测试等更多增量需求,进一

190、步拓宽测试能力。 新业务快速放量,传统业务有望支撑。新业务快速放量,传统业务有望支撑。新业务方面,公司 2022 年 PIM 模块发货有望大幅增长,STS8300出货进一步放量,GaN有望持续贡献,奠定公司增量业务。传统业务 2022 年 06 月 22 日 P.61 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 方面,参考泰瑞达指引2022年全球soc测试设备增速为12%,我们预计未来全球capex仍处于稳步增长态势,且国内下游设计公司占比持续提高,新应用需求增长,预计持续贡献增长。 长川科技长川科技半导体测试设备优质标的,技术研发实力半导体测试设备优质标的,技术研发实力强劲。强劲。公司

191、主要产品为测试机、分选机、探针台和AOI设备及自动化设备,主要面向集成电路封测、制造、设计企业等客户。公司的测试机包括大功率测试机(CTT系列) 、模拟/数字混合测试机(CTA系列) ;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q 系列) 、平移式分选机(C6、C7R 系列)等。 图表 118:长川科技测试机及分选机主要产品系列 资料来源:长川科技招股说明书、国盛证券研究所 整合整合 AOI 检测优质标的,技术与客户互补效应强检测优质标的,技术与客户互补效应强。长川科技于 2019 年收购 STI,STI是研发和生产为芯片以及 wafer 提供光学检测

192、、分选、编带等功能的集成电路封装检测设备商。STI 的主要产品为 AT468 机台、Hexa 机台、iSort 机台及 iFocus 机台四种型号高精度光学检测设备,面向市场包括传统封装、BGA、QFN、有引线封装、晶圆级封装等封装测试市场。技术研发方面,STI 的 2D/3D 高精度光学检测技术(AOI)位于行业前列,长川科技于 STI 通过深入研发合作,STI 可为公司探针台等产品在光学领域技术难题的突破提供有力支持,客户方面,STI 与 TI、安靠、三星、日月光、美光、力成等多家全球领先的 IDM 及封测厂建立长期稳定合作关系,为长川科技进入国际知名半导体企业的供应体系提供有力支持。 八

193、、化学机械抛光:八、化学机械抛光:全局纳米级平坦化全局纳米级平坦化 CMP 设备工艺复杂、研制难度大设备工艺复杂、研制难度大,为集成电路工艺流程为集成电路工艺流程中使用中使用的主要设备之一。的主要设备之一。芯片制造主要包括光刻、CMP、刻蚀、薄膜和掺杂等关键工艺技术,其中 CMP 是在芯片制造制程和工艺演进到一定程度、摩尔定律因没有合适的抛光工艺无法继续推进之时才诞生的一项新技术。CMP 设备主要用于单晶硅片制造和芯片制造前道工艺,依托 CMP 技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶 2022 年 06 月 22 日 P.62 请仔细阅读本报告末页声明请

194、仔细阅读本报告末页声明 圆表面多余材料的高效去除与全局纳米级平坦化全局平整落差 5nm 以内的超高平整度,CMP 设备集摩擦学、表/界面力学、分子动力学、精密制造、化学/化工、智能控制等多领城最先进技术于一体,工艺复杂。 图表 119:CMP 设备在芯片制造前道工艺中的环节 资料来源:华海清科招股说明书,国盛证券研究所 CMP 设备结合机械抛光和化学抛光长处,在超大规模集成电路中有广泛应用。设备结合机械抛光和化学抛光长处,在超大规模集成电路中有广泛应用。CMP 的主要检测参数包括研磨速率、研磨均匀性和缺陷量。研磨均匀性又分为圆片内研磨均匀性和圆片间研磨均匀性。对于 CMP 而言,主要的缺陷包括

195、直接影响产品的成品率的表面颗粒、表面刮伤、研磨剂残留等。传统的机械抛光和化学抛光去除速率均低至无法满足先进芯片量产需求, CMP 技术利用了磨损中的“软磨硬”原理,综合两者优势,避免了由单纯机械抛光造成的表面损伤,即用较软的材料来进行抛光以实现高质量的表面抛光,将化学腐蚀和机械研磨作用达到一种平衡,最终实现晶圆表面的超高平整度。未经加工的原料晶圆裸片的表面凹凸不平,无法直接在上面印制电路图形。因此,需要先通过研磨和化学刻蚀工艺去除表面瑕疵,然后通过抛光形成光洁的表面,再通过清洗去除残留污染物,即可获得表面整洁的成品晶圆。因而,CMP技术为后续重复进行光刻、刻蚀、薄膜和掺杂等关键工艺提供了重要的

196、基础。 图表 120:CMP 抛光去除速率对比 图表 121: CMP 平坦化效果图(CMOS 结构剖面图) 资料来源:华海清科招股说明书,国盛证券研究所 资料来源:华海清科招股说明书,国盛证券研究所 2022 年 06 月 22 日 P.63 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 CMP 设备功能的实现需要设备功能的实现需要抛光、清洗、传送三大模块抛光、清洗、传送三大模块组合作业。组合作业。10nm 的全局平整度要求,相当于 44 万平方米面积中任意两点的高低差不超过 0.03 毫米、表面粗糙度小于0.5nm,作业过程中,抛光头将晶圆待抛光面压抵在粗糙的抛光垫上,借助抛光液腐蚀、

197、微粒摩擦、抛光垫摩擦等耦合实现全局平坦化。抛光盘带动抛光垫旋转,通过先进的终点检测系统对不同材质和厚度的膜层实现 310nm 分辨率的实时厚度测量防止过抛。抛光头用于全局分区施压,其在限定的空间内对晶圆全局的多个环状区域实现超精密可控单向加压,从而可以响应抛光盘测量的膜厚数据调节压力控制晶圆抛光形貌,使晶圆抛光后表面达到超高平整度的控制。制程线宽不断缩减、抛光液配方愈加复杂均加大了清洗的难度,对清洗后的颗粒物数量要求也指数级降低,需要 CMP 设备中清洗单元在满足清洁效果的同时保证晶圆表面极限化微缩的特征结构不被破坏。 图表 122:CMP 抛光模块示意图 图表 123:CMP 抛光作业原理图

198、 资料来源:华海清科招股说明书,国盛证券研究所 资料来源:华海清科招股说明书,国盛证券研究所 研磨研磨材料更加丰富,材料更加丰富,CMP 设备升级需求增加设备升级需求增加。9065nm 节点,随着铜互连技术和绝缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。28nm 后,逻辑器件的晶体管中引入高 k 金属栅结构(HKMG) ,从而推动了虚拟栅开口 CMP 工艺和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进的制程节点发展至 7nm 以下时,芯

199、片制造过程中 CMP 的应用在最初的氧化硅 CMP 和钨 CMP 基础上新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升级需求。 图表 124:9-11 层金属结构 Cu CMP 的示意图 资料来源:华海清科招股说明书,国盛证券研究所 晶圆尺寸增加,制程节点升级提升对晶圆尺寸增加,制程节点升级提升对 CMP 设备数量需求。设备数量需求。按工艺流程分类,典型的产 2022 年 06 月 22 日 P.64 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 线上前道、封

200、装、测试三类设备分别占 85%、6%、9%。不同的晶圆尺寸和制程的 IC 制造产线所需的设备数量不同。以每 1 万片/月产能计算,8 英寸产线、12 英寸成熟制程产线、12 英寸先进制程产线所使用的设备数量依次增加。如以中芯国际天津 T2 8 英寸线、中芯国际天津 T3 90nm 12 英寸线、台积电南京一期 16nm 12 寸线为例,每万片月产能平均所需的 CMP 设备台数分别为 3.7 台、12 台、17.5 台,产线制程的精进将对CMP 产生成倍的增量需求。 图表 125:三个不同尺寸和制程的晶圆产线项目每万片月产能对应的设备数量需求 每每 1 万片万片月月产能的设备需求产能的设备需求

201、中芯国际天津中芯国际天津 T2 8 英寸线英寸线 中芯国际天津中芯国际天津 T3 90nm 12 英寸线英寸线 台积电南京一期台积电南京一期 16nm 12 英寸英寸线线 化学机械抛光机 3.7 12 17.5 CVD 9.9 42 30.5 光刻机 4.3 8 7.5 刻蚀设备 10.2 25 59.5 离子注入机 3.4 13 9 资料来源:华海清科招股说明书,国盛证券研究所 抛光、清洗模块有定期维护更换需求,带动抛光、清洗模块有定期维护更换需求,带动 CMP 设备厂商技术服务收入不断提升。设备厂商技术服务收入不断提升。CMP设备属于集成电路设备中使用耗材较多、核心部件有定期维保更新需求的

202、制造设备之一。CMP利用机械力作用于圆片表面,由研磨液中的化学物质与圆片表面材料发生化学反应来增加其研磨速率,首先让研磨液填充在研磨垫的空隙中,圆片在研磨头带动下高速旋转,与研磨垫和研磨液中的研磨颗粒发生作用,此时需要控制研磨头下压力等其他参数。CMP工艺中最重要的两大组成部分是研磨液和研磨垫。晶圆厂需要更换设备外部的抛光液、抛光垫等,同时需要对设备内部长时间运行磨损的抛光头、清洗等单元进行定期维保更新,且设备配套服务需求会随着厂商销售设备数量的增加而快速增长。因此 CMP 设备厂商在设备出货后,将向客户提供专用耗材销售和关键耗材维保等技术服务,随之实现有长期稳定和高盈利能力的服务收入。 图表

203、 126:CMP 工艺应用到研磨头、研磨垫、研磨液 图表 127:CMP 设备的相关配套组成 资料来源:集成电路产业全书,国盛证券研究所 资料来源:集成电路产业全书,国盛证券研究所 中国大陆中国大陆 CMP 设备市场规模第一,海外龙头仍占据大份额。设备市场规模第一,海外龙头仍占据大份额。2018 年全球 CMP 设备市场规模约 18.4 亿美元 2013-2018 年 CAGR 20.1%。 2019 年受全球半导体景气度下滑影响,全球 CMP 设备市场规模略有下滑,2020 年市场规模迅速回升至 15.8 亿美元,同比增长 5.8% 。其中中国大陆市场规模已跃升至全球第一 ,达到 4.3 亿

204、美元,市场份额 27%。从市场格局来看,应材、日本荏原在全球占主导地位,2020 年两家合计市占率超过 93%。 2022 年 06 月 22 日 P.65 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 128:2020 年全球 CMP 设备市场区域结构 图表 129:2020 年全球 CMP 设备竞争格局 资料来源:SEMI,国盛证券研究所 资料来源:Gartner,国盛证券研究所 华海清科华海清科 CMP设备填补国内空白,产品广泛应用于国内外大生产线。设备填补国内空白,产品广泛应用于国内外大生产线。公司于2013年4月成立,主要产品为先进集成电路制造前道工序、先进封装等环节必需的

205、化学机械抛光(CMP)设备,是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商业机型的高端半导体设备制造商。公司的 CMP 设备总体技术性能已达到国内领先水平,已实现在国内外知名客户先进大生产线的产业化应用,在逻辑芯片、3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,均为当前国内大生产线的最高水平。公司研制的 CMP 设备集先进抛光系统、终点检测系统、超洁净清洗系统、精确传送系统等关键功能模块于一体,其内部高度集成的关键核心技术数十项,所产主流机型已成功填补国内空白,打破了国际巨头在此领域数十年的垄断。 图表 130:华海清科发

206、展历程 资料来源:华海清科招股说明书,国盛证券研究所 中国大陆, 27%中国台湾, 25%韩国, 23%北美, 8%日本, 8%欧洲, 6%其他地区, 3%应用材料, 64.1%日本荏原, 29.1%韩国KC Tech, 4.3%东京精密, 2.4% 2022 年 06 月 22 日 P.66 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 8 英寸、英寸、12 英寸系列英寸系列 CMP 设备均已实现产业化应用。设备均已实现产业化应用。公司 12 英寸系列 CMP 设备(Universal 300型、Universal 300 Plus型、Universal300 Dual型、Univers

207、al-300X型)在国内已投产的 12英寸大生产线上实现了产业化应用,截至 2021年底累计已量产晶圆超 1,300 万片;8 英寸系列 CMP 设备(Universal-200 型、Universal-200 Plus 型)已在国内集成电路制造商中实现了产业化应用,主要用于晶圆制造、MEMS 制造及科研攻关等领域。截至 2021 年末,公司已发出未验收结算的 CMP 设备 69 台,未发出产品的在手订单超过 70 台, 图表 131:华海清科主要产品情况 产品类别产品类别 图示图示 应用领域应用领域 300 系列系列 12 英寸英寸 CMP 设备设备 Universal-300 Univer

208、sal-300 是拥有完全自主知识产权的国产首台国产首台 12 英寸英寸 CMP 设备设备,适用于集成电路制造、晶圆基片生产、CMP 研磨材料研发和相关的科学研究,可以满足65130nm Oxide/STI/Poly/Cu/W CMP 等各种工艺需求。 Universal-300 Plus Universal-300 Plus 是根据市场需求研发的新型 12 英寸 CMP 设备,具有四个抛光有四个抛光单元和单套清洗单元单元和单套清洗单元,集成多种终点检测技术,可以满足 45130nm Oxide/STI/Poly/Cu/W CMP 等各种工艺需求。2016.6 开始正式投产首台 300 Plu

209、s,2017.2 完成公司内部测试并发货,2017.12 通过 SMIC 工艺验收并实现销售。 Universal-300 Dual Universal-300 Dual 是根据中高端市场需求开发的先进 12 英寸 CMP 设备,具有四有四个抛光单元和双清洗单元个抛光单元和双清洗单元,可满足 2865nm 逻辑芯片及逻辑芯片及 2xnm 存储芯片存储芯片Oxide/SiN/STI/Poly/Cu/W CMP 等各种工艺需求。2017.11 首台正式投产,2018.10 完成公司内部测试并发货,入驻长江存储产线验证,另一台于 2019.9 在长存完成工艺验收实现销售。 Universal-300

210、 X Universal-300 X 是为了面向 14nm 及 128 层 NAND 等更先进制程的更高平坦度要求开发的先进 12 英寸 CMP 设备。抛光头具有 8 个独立气压分区个独立气压分区,用于实现晶片更加优异的全局平坦化,结合先进的多种终点检测技术更加优异的全局平坦化,结合先进的多种终点检测技术,可以满足 1445nm 逻逻辑厂及辑厂及 1xnm 存储厂存储厂 Oxide/SiN/STI /Poly /Cu /W CMP 等各种工艺需求。2020.2 正式投产,2020.6 完成了公司内部测试并发货,进入长江存储进行产线验证,2020.9 已通过工艺验收实现销售。 Universal

211、-300 T Universal-300 T 是在 300X 机型基础上搭载了更先进的组合清洗技术搭载了更先进的组合清洗技术,展现更卓越 的 清 洗 效 果 , 可 以 满 足28nm 以 下 逻 辑 厂 及以 下 逻 辑 厂 及1xnm 存 储 工 厂存 储 工 厂Oxide/SiN/STI/Poly/Cu/W CMP 等各种工艺需求。 200 系列系列 8 英寸英寸 CMP 设备设备 Universal-200 Universal-200是一套独立控制的 8英寸 CMP抛光单元系统,可兼容 4-8 英寸多种英寸多种材料的化学机械抛光材料的化学机械抛光。该单体机沿用了华海清科抛光设备的成熟技

212、术和功能,适用于 MEMS 制造、第三代半导体制造制造、第三代半导体制造、科研院所、实验研发机构。 Universal-200 Plus Universal-200 Plus 是根据市场需求,采用 Universal-300 Plus 的成熟经验设计,拥有完全自主知识产权的新型 8 英寸 CMP 设备,集成多种终点检测技术,集成多种终点检测技术,4 个抛个抛光单元和单套清洗单元光单元和单套清洗单元,具备技术水平高、产量高、性能稳定、多工艺灵活组合等优点,可满足 Oxide/STI/Poly/Cu/W CMP 等各种工艺需求等各种工艺需求。2020.1 完成公司内部测试并发货,2020.6 已通

213、过上海新微工艺验收实现销售。 12 英寸英寸 减薄抛光一体机减薄抛光一体机 Versatile-GP 300 Versatile-GP 300 是公司新研制的用于用于 3D IC 制造的制造的 12 英寸晶圆减薄抛光一体英寸晶圆减薄抛光一体机机,通过新型整机布局集成超精密磨削、CMP 及后清洗工艺,配置先进的厚度偏差与表面缺陷控制技术,提供多种系统功能扩展选项,具有高精度、高刚性、工高精度、高刚性、工 2022 年 06 月 22 日 P.67 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 艺开发灵活艺开发灵活等优点。可基于 Versatile-GP300 拓展和研发多种配置,满足 3DI

214、C 制造、先进封装等领域的晶圆减薄技术需求。 资料来源:华海清科招股说明书,国盛证券研究所 根据招标网的数据统计,华虹无锡在 2022 年 1-5 月招标化学机械抛光设备 13 台,其中应用材料中标 9 台,华海清科中标 4 台。应用材料中标的 10 台具体为铜金属层化学机械抛光设备 5 台,浅沟槽绝缘氧化膜化学机械抛光设备 2 台,多晶硅化学机械抛光设备2 台;华海清科分别中标铜金属层化学机械抛光设备 2 台,钨金属层化学机械抛光设备1 台,氧化膜化学机械抛光设备 1 台。 图表 132:华虹无锡 2022 年 1-5 月化学机械抛光设备采购情况 资料来源:中国国际招标网,国盛证券研究所 长

215、江存储 20192020 年共招标化学机械抛光设备 62 台,其中华海清科中标 22 台,应用材料中标 40台。分具体产品来看,华海清科中标的 22 台设备中,氧化硅化学机械抛光机 9 台,层间介质层化学机械抛光机 6 台,晶圆硅面化学机械抛光机 6 台。应用材料中标的 40 台设备包括铜化学机械抛光机 20 台,前段钨化学机械抛光机 13 台,晶圆硅面化学机械抛光机 2 台,多晶硅化学机械抛光机 2 台,氧化硅化学机械抛光机 1 台,浅槽隔离化学机械抛光机 1 台。 图表 133:长江存储 2019-2020 年化学机械抛光设备采购情况 应用材料应用材料 华海清科华海清科 铜化学机械抛光机

216、20 前端钨化学机械抛光机 13 氧化硅化学机械抛光机 1 9 层间介质层化学机械抛光机 6 晶圆硅面化学机械抛光机 2 6 多晶硅化学机械抛光机 2 浅槽隔离化学机械抛光机 1 其他 1 1 资料来源:中国国际招标网,国盛证券研究所 0应用材料华海清科多晶硅CMP设备浅沟槽绝缘氧化膜CMP设备氧化膜CMP设备钨金属层CMP设备铜金属层CMP设备 2022 年 06 月 22 日 P.68 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 九九、半导体材料、半导体材料:晶圆厂持续扩产,材料拐点已至:晶圆厂持续扩产,材料拐点已至 9.1 晶圆代工扩产拉动材料需求持续增长晶圆

217、代工扩产拉动材料需求持续增长 2021 年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在2030 年超过万亿美元市场。年超过万亿美元市场。从需求端来看,以汽车、工业、物联网、5G 通讯等代表的需求驱动驱动全球半导体产业进入“第四次半导体硅含量提升周期” 。根据 SEMI,2021年全球半导体产值有望超过 5500亿美元,达到历史新高,且在 2022年根据 SEMI对于行业资讯机构的统计,平均对于 2022 年的增长预期将达到 9.5%,即 2022 年市场规模有望突破 6000 亿美元(此为平均值) 。此外

218、随着全球 8 寸及 12 寸晶圆新产能逐步的在 2022 年至 2024年的投放,至 2024 年全球将会有 25 家 8寸晶圆厂投产,60 座 12寸晶圆厂投放。随着该 85座晶圆厂的投放,至 2030年全球半导体晶圆市场将有望达到万亿美元市场,实现年复合增长率约 7%。 2021 年全球半导体材料市场规模创新高年全球半导体材料市场规模创新高,中国大陆需求占比,中国大陆需求占比 18.6%。根据 SEMI,强劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9%达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239亿美金

219、,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。 图表 134:全球半导体销售市场规模 图表 135:全球半导体材料市场规模 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 图表 136:2020 及 2021 年分地区半导体材料市场营收(亿美元) 图表 137:封装及晶圆制造材料市场规模 资料来源:S

220、EMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 -30%-20%-10%0%10%20%30%40%0040005000600070005200820020半导体销售市场规模(亿美元)yoy-20%-15%-10%-5%0%5%10%15%20%25%30%0050060070020032006200920021E半导体材料市场销售额(亿美元)YoY05003003504004502012 2013 2014 2015 2016 2017 2018 2

221、019 2020 2021E2022E晶圆制造材料(亿美元)封装材料(亿美元) 2022 年 06 月 22 日 P.69 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功能性等都提出了严苛的需求。 图表 138:半导体材料分类 资料来源:赛瑞研究,国盛证券研究所 简单来看,半导体制造

222、所需要的材料主要分布在一下四步之中:简单来看,半导体制造所需要的材料主要分布在一下四步之中: 1. 掺杂掺杂/热处理:热处理:溅射靶材,湿法化学品、化学气体,CMP 抛光垫和抛光液; 2. 蚀刻蚀刻/清洁:清洁:掩模/光罩,溅射靶材,CMP 抛光垫和抛光液; 3. 沉积:沉积:化学气体,CMP 抛光垫和抛光液; 4. 光刻:光刻:掩模/光罩、光刻胶、光刻胶显影液、熔剂、剥离剂。 图表 139:晶元制造过程所需材料 资料来源:Horizon Insights,国盛证券研究所 2022 年 06 月 22 日 P.70 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 半导体制造过程繁琐且复杂,对

223、于的材料大类的设计也超过了 9 种。其中硅片的占比最大,达到了122亿美元,37.3%;其次为电子特气,市场规模约为43亿美元,13.2%;光掩模,光刻胶及其辅助材料分别为41亿美元和40亿美元,占比达到12.5%和12.2%。 图表 140:半导体原材料分布情况 资料来源:SEMI,国盛证券研究所 在全球半导体材料的需求格局之中,中国大陆从 2011 年的 10%的需求占比,至 2021年已经达到占据全球需求总量的 18.6%,仅次于中国台湾(22.9%) ,位列全球第二。随着整个半导体产业的持续增长,以及中国大陆不断新建的代工产能,我们有望看到中国大陆半导体市场规模增速将会持续超越全球增速

224、的同时,攀登至全球需求第一的宝座。 图表 141:2021 年半导体材料市场按地域分布 资料来源:SEMI,国盛证券研究所 半导体材料国产化率仍待转化。半导体材料国产化率仍待转化。在国家产业政策大力扶持和国内半导体市场稳定增长等利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领域将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代,打破国外厂商的垄断。半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片,每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽

225、。目前我国不同目前我国不同半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。 我们选取代表性公司彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、雅克科技、立昂微、金宏气体和晶瑞股份,2021 年电子材料营收综合约为 98 亿元人民币,考虑到其他未收录的非上市公司及上市公司,我们展开乐观假设:中国有着我们展开乐观假设:中国有着37.3%12.5%5.3%6.9%5.1%13.2%2.6%7.1%10.1%硅片光掩模光刻胶光刻胶辅助材料工艺化学品电子特气靶材CMP抛光材料其他122 4

226、1 17 23 17 43 9 23 33 020406080100120140晶圆制造材料价值量分布(亿美元)中国台湾, 22.9%中国大陆, 18.6%韩国, 16.4%日本, 13.7%北美, 9.4%欧洲, 6.9%其他, 12.1% 2022 年 06 月 22 日 P.71 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 电子半导体材料营收规模电子半导体材料营收规模 150 亿人民币亿人民币(更多的为中低端产品,高端产品仍然在持续突破及替代) ,在当前在当前 643 亿美元的全球市场之中也仅仅亿美元的全球市场之中也仅仅 4%不到的替代率;在中国所不到的替代率;在中国所需的产值约需

227、的产值约 119亿美元的市场需求中,也仅占亿美元的市场需求中,也仅占 19%,因此可以看到中国无论是在中国市场或者全球市场之中,均有着巨大的国产化空间。 图表 142:半导体材料国产化进程 资料来源:Wind,SEMI,公司公告,国盛电子整理,国盛证券研究所 9.2 各类材料持续持续突破,业绩佐证国产替代正式开幕各类材料持续持续突破,业绩佐证国产替代正式开幕 随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。在材料领域已经开

228、始涌现出各类已经进入批量生产及供应的厂商。 图表 143:半导体材料公司在电子材料业务领域营收情况(亿元) 公司公司 主要半导体材料产品主要半导体材料产品 2018 2019 2020 2021 鼎龙股份 CMP 抛光垫 0.03 0.12 0.79 3.07 安集科技 CMP 抛光液 2.05 2.36 3.75 5.94 光刻胶去除剂 0.42 0.49 0.47 0.91 雅克科技 光刻胶及配套试剂 3.42 12.15 电子特气 2.57 3.95 3.73 3.91 前驱体 2.74 5.03 7.53 8.45 金宏气体 电子特气 3.84 4.60 4.48 6.59 凯美特气

229、大宗稀有气体 0.18 沪硅产业 半导体硅片 10.10 14.93 18.11 24.67 立昂微 半导体硅片 7.98 7.59 9.73 14.59 兴森科技 半导体测试板 3.38 5.04 5.02 4.17 IC 封装基板 2.36 2.97 3.36 6.67 彤程新材 IC 光刻胶 0.79 0.70 0.89 1.15 面板光刻胶 2.09 2.56 晶瑞股份 光刻胶 0.84 0.79 1.79 2.74 资料来源:各公司年报,国盛证券研究所 2022 年 06 月 22 日 P.72 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 除了以上我们节选的部分半导体及电子材料

230、厂商对于中国卡脖子关键材料的替代以外,还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占比最大的半导体硅片,再到被美国高度垄断的CMP(抛光液及抛光垫)材料,均都实现了一定的技术突破,在不同的实现果实的收获。 图表 144:当前部分 A 股半导体材料公司在细分领域的进展及后续规划(研发费用为 2021 年数字,亿元) 资料来源:各公司 2021 年年报、公司公告,招股说明书,国盛证券研究所 2022 年 06 月 22 日 P.73 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 十十、光刻胶:产品逐步突破,国产替代已开启光刻胶:产品逐步突破,国产替代已开启 光

231、刻胶做为半导体生产中光刻工艺的核心材料,其主要工作原理是:光刻工艺利用光刻胶对于各种特殊射线及辐射的反应原理,将事先制备在掩模上的图形转印到晶圆,建立图形的工艺,使硅片表面曝光完成设计路的电路图,做到分辨率清晰和定位无偏差电路,就如同建筑物一楼的砖块砌起来和二楼的砖块要对准,叠加的层数越高,技术难度大。 从光刻胶的发展历程看,20 世纪 50 年代至今,光刻技术经历了紫外全谱(300-340nm) ,G线(436nm) ,I线(365nm) ,深紫外(Deep Ultraviolet,DUV,248nm和193nm) ,以及目前最引人注目的极紫外(EUV,13.5nm)光刻,电子束光刻等六个阶

232、段,随着光刻技术发展,各曝光波长的光刻胶组分(成膜树脂、感光剂和添加剂等)也随之变化。 图表 145:光刻技术及光刻材料的发展 光刻胶体系光刻胶体系 成膜树脂成膜树脂 感光剂感光剂 光刻波长光刻波长 技术节点及用途技术节点及用途 聚乙烯醇肉桂酸酯系负性光刻胶 聚乙烯醇肉桂酸酯 成膜树脂自身 紫外全谱 (300-450nm) 3m 以上集成电路和半导体器件 环化橡胶-双叠氮负胶 环化橡胶 芳香族双叠氮化合物 紫外全谱 (300-450nm) 2m 以上集成电路和半导体器件 酚醛树脂-重氮萘醌正胶 酚醛树脂 重氮萘醌化合物 G 线(436nm) I 线(365nm) 0.5m 以上集成电路 0.3

233、5m-0.5m 集成电路 248nm 光刻胶 聚对羟基苯乙烯及其衍生物 光致产酸剂 KrF(248nm) 0.25m-0.13m 集成电路 193nm 光刻胶 聚脂环族丙烯酸酯及其共聚物 光致产酸剂 ArF(193nm 干法) ArF(193nm 浸没法) 130-65nm 集成电路 45nm,32nm 集成电路 EUV 光刻胶 聚酯衍生物分子玻璃单组分材科 光致产酸剂 极紫外(EUV 13.5nm) 32nm,22nm 及以下集成电路 电子束光刻胶体系 甲基丙烯酸酯及其共聚物 光致产酸剂 电子束 掩膜板制备 纳米压印紫外光刻胶体系 丙烯酸酯类:环氧树脂:乙烯基醚 自由基型光引发剂:阳离子光引

234、发剂 紫外光 电子学、生物学、光学等领城 资料来源:光刻材料的发展及应用_庞玉莲,邹应全,国盛证券研究所 根据反应机理和显影原理,可以将光刻胶分为正性光刻胶和负性光刻胶。正性光刻胶形成的图形与掩膜版(光罩)相同,负性光刻胶显影时形成的图形与掩膜版相反。根据感光树脂的化学结构,光刻胶可分为光聚合型,光分解型和光交联型。根据应用领域,光根据应用领域,光刻胶可以分为刻胶可以分为 PCB 光刻胶、面板光刻胶和半导体光刻胶。光刻胶、面板光刻胶和半导体光刻胶。 2022 年 06 月 22 日 P.74 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 146:正性及负性光刻胶的反应原理 资料来源:

235、容大感光招股书,国盛证券研究所 图表 147:光刻胶分类 分类标准分类标准 具体类别具体类别 备注备注 应用领域 IC 光刻胶 g 线光刻胶、i 线光刻胶、KrF 光刻胶、Arf 光刻胶、聚酰亚胺光刻胶、掩模版光刻胶等 PCB 光刻胶 干膜光刻胶、湿膜光刻胶、光成像阻焊油墨等 LCD 光刻胶 彩色光刻胶及黑色光刻胶、LCD 衬垫料光刻胶、TFT 配线用光刻胶等 按曝光波长 g 线 曝光波长:436mm 对应集成电路尺寸:0.5m 以上适用芯片:6 寸 i 线 曝光波长:365nm 对应集成电路尺寸:0.5-0.35m 适用芯片:6 寸,8 寸,12 寸 KrF 曝光波长:248mm 对应集成电

236、路尺寸:0.25-0.15m 适用芯片:8 寸,12 寸 Ar 曝光波长:193nm 对应集成电路尺寸:65-130nm 适用芯片:12 寸 EUV 曝光波长:134nm 对应集成电路尺寸:32nm 以下适用芯片:12 寸及以上 按相应紫外线的特征 正性胶 未曝光的部分溶于显影液高分辨率,抗干法蚀刻性强,耐热性好,去胶方便,台阶覆盖度好,对比度好,随着 2-5m 图形尺寸出现,正胶分辨率优势逐渐凸显 负性胶 曝光的部分溶于显影液,抗酸抗碱,粘附性好,热稳定性好,感光速度快 资料来源:赛瑞研究,前瞻产业研究院整理,国盛证券研究所 从组成成分从组成成分来看来看,光刻胶主要由成膜树脂、光敏物质、溶剂

237、和助剂组成。其中,成膜,光刻胶主要由成膜树脂、光敏物质、溶剂和助剂组成。其中,成膜树脂是光刻胶的主要成分。树脂是光刻胶的主要成分。 - 树脂:树脂:光刻胶的主要原料,具备光敏性和能力敏感的特殊聚合物,一般是由碳、氢和氧组成的大分子。经光照后在曝光区能很快地发生固化反应,溶解性、亲和性等发生明显变化,用适当的溶剂处理就可以得到图像。随着制程的提升、曝光波长的缩小,光刻胶中树脂的成本不断提升,对光刻胶的性能有重要影响。 - CAR 作用原理:作用原理:在感光组成物中加入光致产酸剂,光致产酸剂(Photoacid Generator,PAG) 在光照射下生成酸,酸作为催化剂催化光刻胶树脂发生反应,通

238、过化学方法将光学信号进行放大,解决光刻胶的感光效率问题。同时,使用聚对羟基苯乙烯作为主要的成膜树脂,解决了在 248 nm 波长下的透光性的问题。缺点:曝光中产生酸,容易污染镜头,并且后烘过程中产生的 H + 扩散造成线边粗糙度的增加。 - g 线线/i 线光刻胶:线光刻胶:主要用酚醛树脂和重氮萘醌体系,酚醛树脂为成膜树脂、重氮萘醌为感光剂,曝光后二者反应的部分易于溶于显影剂被去除。添加剂:在正型 i-线光刻胶中加入添加剂可以提高抗刻蚀性能、感光性能等。 - KrF 光刻胶:光刻胶:光源强度大大降低,对光刻胶敏感性提出极高要求,IBM 通过化学放大光刻胶(Chemically Amplifie

239、d Resists,CARs,也叫化学增幅胶) ,提高光刻胶感光度。 2022 年 06 月 22 日 P.75 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 - ArF 光刻胶光刻胶:聚甲基丙烯酸酯类型的光刻胶,随着光刻制程不断降低,光刻胶的胶膜厚度也需要不断下降,尤其是在 193 nm 光刻工艺基础上,发展了 193 nm 浸没式光刻以及多重曝光的技术以提升光刻胶的分辨率,这使得光刻胶的抗刻蚀性能需要进一步提升(聚甲基丙烯酸酯类型的光刻胶抗腐蚀性能低) 。 行业壁垒高耸,研发能力要求极高,资金需求巨大行业壁垒高耸,研发能力要求极高,资金需求巨大。在上述我们也对众多光刻胶进行了简单的分类

240、,但实际操作中由于各个客户的产品的要求不同,对应的光刻胶的具体需求料号众多。这一点将会直接导致光刻胶企业在生产制作光刻胶的时候需要具备足够的配方研发能力,对众多国内仍在起步的厂商无疑是个巨大的挑战。另一方面由于光刻胶最终需要应用在光刻机上,以 ASML 为例,EUV 光刻机常年保持在 1 亿欧元左右,248nm 的 KrF 光刻机也基本维持在一千万欧元以上。 从光刻胶全球市场来看,根据 Cision,2019 年约有 91 亿美元的市场规模,至 2022 年预计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类主要的应用场景分别占据了市场空间的 24.1%、26.

241、6%、及 24.5%,分别对应 2019 年的市场规模 22 亿美元、24 亿美元、及 22 亿美元。 图表 148:2019-2022 全球光刻胶产业市场规模(亿美元) 图表 149:全球光刻胶应用份额占比 资料来源:Cision,前瞻产业研究院,国盛证券研究所 资料来源:智研咨询,国盛证券研究所 Cision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用场景分布来看,在中国大陆所需要的半导体、LCD、及PCB的市场需求分别将达到21、23、22 亿元人民币。 248

242、nm及以上高端光刻胶为全球市场的主流及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019年中国光刻胶市场规模在 158 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币;至 2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达到 25 亿人民币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以及制程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。 2022 年 06 月 22 日 P.76 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 150:国内光刻胶场规模 图表 151:中国半导体光刻胶及配套试剂市

243、场规模 资料来源:中国产业信息网,国盛证券研究所 资料来源:中国产业信息网,国盛证券研究所 然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善,晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时,我们有望看到中国半导体光刻胶需求的持续高增长。 图表 152:国内半导体光刻胶市场规模(亿元) 资料来源:智研产业研究院,国盛证券研究所 虽然中国市场增速巨大,但是从产业端来看,全球共

244、有 5 家主要的光刻胶生产企业。其中,日本技术和生产规模占绝对优势。而其中在半导体光刻胶中,占据主导位置的还是以日美两国为主。 国产光刻胶份额:国产光刻胶份额:受益于半导体、显示面板、PCB 产业东移的趋势,根据雅克科技,自2011 年至今,光刻胶中国本土供应规模年华增长率达到 11%,高于全球平均 5%的增速。根据智研咨询,2019 年中国光刻胶市场本土企业销售规模约 70 亿元,全球占比约10%,发展空间巨大。目前,中国本土光刻胶以 PCB 用光刻胶为主,平板显示、半导体用光刻胶供应量占比极低。中国半导体光刻胶的占比仅有 2%,LCD 仅为 3%,而最为简单 PCB 光刻胶占比高达 94%

245、。 81581760%2%4%6%8%10%12%14%16%020406080000192020E国内光刻胶市场规模(亿元)yoy1011.513.616.620.724.80%5%10%15%20%25%30%05001820192020E国内半导体光刻胶市场规模(亿元)yoy 2022 年 06 月 22 日 P.77 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 153:中国光刻胶厂商生产结构情况 资料来源:智研产业研究院,国盛证券研究所 整体来

246、看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域,前六大家(主要以日本为主)实现了对于市场的 87%的占据。 图表 154:全球光刻胶市占率情况 图表 155:全球半导体光刻胶市占率情况 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 分品类来看市场格局,仍然是全球几大龙头形成寡头垄断之势,而中国供应商尚未登榜。 图表 156:2019 年 krf 光刻胶市场占比 图表 157:2019 年 arf 光刻胶市场占比 资料来源:前瞻产业研究院,国盛证券研究所 资料来源:

247、前瞻产业研究院,国盛证券研究所 2022 年 06 月 22 日 P.78 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 158:2019 年 g/i 线光刻胶市场占比 资料来源:前瞻产业研究院,国盛证券研究所 国产半导体光刻胶的发展速度远远慢于其他产业,原因在于: 1、 光刻胶的验证周期长。光刻胶的验证周期长。光刻胶批量测试的 过程需要占用晶圆厂机台的产线时间,在产能紧张的时期测试时间将会被延长。测试的过程需要与光刻机、掩膜版及半导体制程中的许多工艺步骤配合,需要付出的成本极高。通常面板光刻胶验证周期为1-2年,半导体光刻胶验证周期为2-3年。但是验证通过之后便会形成长期供应关系,

248、甚至在未来会推动企业之间的联合研发。 2、 原材料成膜树脂具有专利壁垒。原材料成膜树脂具有专利壁垒。树脂的合成难度高,通常光刻胶厂商在合成一种树脂后会申请相应的专利,目前树脂结构上的专利主要被日本公司占据。 图表 159:光刻胶龙头专利积累 大分类大分类 中分类中分类 名称名称 专利公开量专利公开量 专利授权量专利授权量 用途 曝光应用 JSR 46 14 东京应化 31 24 日本信越 66 40 富士电子材料 1926 940 光源 JSR 38 19 东京应化 13 5 日本信越 135 93 富士电子材料 448 221 光罩 JSR 1 0 东京应化 2 1 日本信越 124 112

249、 富士电子材料 39 27 课题 图案形成 JSR 1568 714 东京应化 195 132 日本信越 3226 2741 富士电子材料 6271 2755 构成要素技术 材料、层构造 JSR 377 225 东京应化 49 40 日本信越 993 639 富士电子材料 1135 441 资料来源:集微网,国盛证券研究所 3、 光刻胶产品品类多,配方需要满足差异化需求。光刻胶产品品类多,配方需要满足差异化需求。根据产品需求来调配适合的树脂来满足差异化需求对于光刻胶企业是一大难点,也是光刻胶制造商最核心的 2022 年 06 月 22 日 P.79 请仔细阅读本报告末页声明请仔细阅读本报告末页

250、声明 技术。以 TOK 为例,通过其产品系列可以看出,根据关键尺寸、应用层、正负胶等划分,可以分为几十个系列。彤程新材持续推出新产品,KrF光刻胶方面,公司产品种类涵盖 Poly、AA、Metal等关键层工艺以及 TM/TV、Thick、Implant、ContactHole 等应用领域,2021 年公司新增 21 支新产品通过客户验证并获得订单,其中248nm光刻胶10支,I线光刻胶9支,LED及先进封装用光刻胶2支。 图表 160:TOK 产品分类(按特征尺寸) 图表 161:TOK KrF 正性光刻胶系列 资料来源:TOK 官网,国盛证券研究所 资料来源:TOK 官网,国盛证券研究所 图

251、表 162:KrF 深紫外光刻胶系列产品 资料来源:科华微官网,国盛证券研究所 2022 年 06 月 22 日 P.80 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 163:海外龙头光刻胶产品发展历程 资料来源:各公司官网,国盛电子整理,国盛证券研究所 复盘半导体光刻胶发展历程,我们复盘半导体光刻胶发展历程,我们总结出总结出以下以下结论结论: 1)光刻胶与工艺节点)光刻胶与工艺节点发展发展息息相关。息息相关。光刻胶合成工艺的演进是基于制程的不断推进,在研发过程中需要与光刻机厂商密切合作。日本光刻胶从开始的模仿到在 KrF 胶实现超越,背后是半导体产业链向日本转移、日本政府对半导体

252、产业链的大力扶持和日本光刻机厂商的崛起。当前背景下,先进节点技术开发速度有所放缓,国内半导体产业发展,国产化需求为中国企业带来发展机遇。 2)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精细化工的产物相同。细化工的产物相同。TOK 最早切入,主要系本土客户培养本土供应链的需求;JSR 的切入背景是 1970 年代石油危机下化工企业利润空间受到挤压,从而寻求第二增长曲线;信越从硅化工业务切入是因为 1990s 日本国内经济衰退,公司基于原有主营业务寻求协同发展。彤程新材是全球最大的轮胎用特种材料供

253、应商,生产和销售的轮胎用高性能酚醛树脂产品在行业内处于全球领导者地位。电子级酚醛树脂对产品纯度要求非常高,在KrF 光刻胶中,树脂占总成本的超过 70%,且在生产树脂中需要保证不同批次的高分子树脂的分子量分布和性能都相差无几,故而成膜树脂的合成难度最高。目前彤程新材已成功自主开发电子级酚醛树脂,在光刻胶、环氧塑封料、覆铜板等领域均有布局,并通过部分客户的认证,开始批量供应。 3)光刻胶公司与下游客户绑定紧密,)光刻胶公司与下游客户绑定紧密,同时布局光刻胶配套材料,客户粘性强同时布局光刻胶配套材料,客户粘性强。光刻胶存在一定的先发优势,但技术壁垒并不至于无法突破,找到体系内符合要求的基团也可实现

254、突破。但光刻胶对半导体生产过程的稳定性至关重要,验证周期长,下游客户不会轻易更换。提升份额的途径在于打入客户新增产能供应链,以及配套材料的研发销售,如 TOK生产显影剂和边缘去除剂,JSR生产底部抗反射涂料,信越生产石英掩模毛胚和硅抗反射涂层。彤程新材 I 线光刻胶和 KrF 光刻胶以批量供应于中芯国际、华虹宏力、长江存储、华力微电子、武汉新芯、华润上华等 13 家 12 寸客户和 17 家 8 寸客户,同时公司 2 万吨光刻胶相关配套试剂项目,已进入洁净间及机电设备的安装高峰,预计 2022 年 6-7 月份能完成全部建设,将在下半年开始进入试生产。 2022 年 06 月 22 日 P.8

255、1 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 由贸易至上游制造,多元拓展丰富业务,打造平台型企业。由贸易至上游制造,多元拓展丰富业务,打造平台型企业。彤程新材自 1999 年成立,主要从事橡胶助剂商贸代理业务,在 2006 年逐步转型上游制造,且直至现在,公司已经开拓了多个研发测试中心且投建多个国际化标准的生产基地;同时在 2019 年至今,公司再次开拓电子材料业务及可降解材料业务,实现公司初步战略“一体两翼、三大业务”的布局。 向上游延伸布局,研发实力及盈利能力齐升。向上游延伸布局,研发实力及盈利能力齐升。公司当前积极布局光刻胶(IC+面板)上游,自下而上的产业链整合一方面大幅提高公

256、司光刻胶研发实力,还将提升公司利润水平,实现卡脖子材料突破的同时盈利丰厚。电子材料、可降解材料、及汽车/轮胎特种材料,彤程新材横向在专业范围内进行多品类拓展,纵向整合产业链上下游,已初步实现新材料平台战略。 多业务同步成长及拓展,打造平台化目标。多业务同步成长及拓展,打造平台化目标。复盘全球化学平台型龙头信越化学的成长,信越化学自始至终都坚持多元发展、产品/销售/发展三位一体、以及使用最核心的技术实现上下游全链条打通的战略进行发展及成长。而彤程新材当前也实现了三大业务的并驾齐驱,且与信越化学样坚持上下游整合,基于同源技术的横纵拓展,以及有产品终端向上反溯的基因,因此我们认为彤程新材同样有望成为

257、中国内的大型平台型厂商。 十十一一、CMP:突破重围,国产化启动:突破重围,国产化启动 CMP 化学机械抛光(Chemical Mechanical Polishing)工艺是半导体制造过程中的关键流程之一,利用了磨损中的“软磨硬”原理,即用较软的材料来进行抛光以实现高质量的表面抛光。通过化学的和机械的综合作用,从而避免了由单纯机械抛光造成的表面损伤和由单纯化学抛光易造成的抛光速度慢、表面平整度和抛光一致性差等缺点。 图表 164:CMP 抛光模块示意图 图表 165:CMP 抛光作业原理图 资料来源:华海清科招股说明书,国盛证券研究所 资料来源:华海清科招股说明书,国盛证券研究所 化学机械抛

258、光采用将机械摩擦和化学腐蚀相结合的工艺化学机械抛光采用将机械摩擦和化学腐蚀相结合的工艺: 化学腐蚀化学腐蚀 抛光液:抛光液:首先是介于工件表面和抛光垫之间的抛光液中的氧化剂、催化剂等于工件表面材料进行化学反应,在工件表面产生一层化学反应薄膜; 机械摩擦机械摩擦 抛光垫:抛光垫:然后由抛光液中的磨粒和由高分子材料制成的抛光垫通过机械作用将这一层化学反应薄膜去除,使工件表面重新裸露出来,然后再进行化学反应。 整个过程是化学作用与机械作用的交替进行,最终完成对工件表面的抛光,速率慢者整个过程是化学作用与机械作用的交替进行,最终完成对工件表面的抛光,速率慢者 2022 年 06 月 22 日 P.82

259、 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 控制抛光的速率。控制抛光的速率。 CMP包括三道抛光工序,主要运用到的材料包括抛光垫、抛光液、蜡、陶瓷片等。不同工序根据目的的不同,分别需要不同的抛光压力、抛光液组分、pH 值、抛光垫材质、结构及硬度等。CMP 抛光液抛光液和和 CMP 抛光垫抛光垫是是 CMP 工艺的核心要素,二者的性质影响工艺的核心要素,二者的性质影响着表面抛光质量。着表面抛光质量。而在 CMP 环节之中,也存在着各式不同的类别,例如钨/铜及其阻挡层、铝、STI、ILD 等。 集成电路工艺技术的每一次精进,都伴随着集成电路工艺技术的每一次精进,都伴随着 CMP 技术的不断

260、深入。技术的不断深入。随着摩尔定律的延续,当制造工艺不断向先进制程节点发展时对 CMP 技术的要求相应提高、步骤也会不断增加,CMP 设备首先应用于 1988 年 IBM 公司 4M DRAM 芯片的制造,此后随器件特征尺寸(CD)微细化、多层布线和新型材料出现,CMP 技术的重要性不断凸显,首先用于后道工艺金属间绝缘介质(IMD)层的平坦化,之后用于金属钩(W)的平坦化,近年来又用于浅沟槽隔离(STI)和铜(Cu)的平坦化。 STI(Shallow Trench Isolation)即浅沟槽隔离层,他的作用主要是用氧化层来隔开各个门电路(Gate) ,使各门电路之间互不导通。STI CMP

261、这就是将晶圆表面的氧化层磨平,最终正好使SIN暴露出来。Oxide CMP包括了ILD CMP及IMD CMP,主要是将氧化硅(Oxide)磨平至一定厚度,实现平坦化。 图表 166:CMP 示意图 资料来源:SMIC,化学机械抛光制程简介,国盛证券研究所 在钨、铜、Poly 等各类 CMP 环节之中,其实本质上都是将电门之间的缝隙填充完后,对于不同部分的研磨,使晶圆表面实现平坦化或者使需要暴露出来的材质正好暴露在外。 图表 167:钨 CMP 流程示意图 资料来源:SMIC,化学机械抛光制程简介,国盛证券研究所 2022 年 06 月 22 日 P.83 请仔细阅读本报告末页声明请仔细阅读本

262、报告末页声明 图表 168:Poly CMP 流程示意图(Poly 为 P2) 资料来源:SMIC,化学机械抛光制程简介,国盛证券研究所 研磨研磨材料更加丰富,材料更加丰富,CMP 需求增加需求增加。9065nm 节点,随着铜互连技术和绝缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。28nm 后,逻辑器件的晶体管中引入高 k 金属栅结构(HKMG) ,从而推动了虚拟栅开口 CMP 工艺和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进制程节点

263、发展至 7nm 以下时,芯片制造过程中 CMP 的应用在最初的氧化硅 CMP 和钨 CMP 基础上新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升级需求。 图表 169:抛光步骤随逻辑芯片技术进步增加 图表 170:9-11 层金属结构 Cu CMP 的示意图 资料来源:卡博特微电子,国盛证券研究所 资料来源:拓荆科技招股书,国盛证券研究所 根据 TECHCET,全球 CMP 材料市场规模在 2021 年达到超过 30 亿美金,其中抛光垫市场规模约 11.3 亿美金

264、,抛光液市场规模 14.3 亿美金,预计 CMP 材料市场 2022 年同比增长 9%至 33 亿美金。 2022 年 06 月 22 日 P.84 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 171:全球 CMP 材料市场规模(百万美金) 图表 172:2021 年全球 CMP 材料市场规模及占比(亿美金) 资料来源:TECHCET,国盛证券研究所 资料来源:TECHCET,国盛证券研究所 目前市场上抛光垫目前主要被陶氏化学公司所垄断,市场份额达到 90%左右,其他供应商还包括日本东丽、3M、台湾三方化学、卡博特等公司,合计份额在 10%左右。抛光液方面,目前主要的供应商包括日本

265、 Fujimi、日本 HinomotoKenmazai,美国卡博特、杜邦、Rodel、Eka、韩国 ACE 等公司,占据全球 90%以上的市场份额,国内这一市场主要依赖进口,国内仅有部分企业可以生产,但也体现了国内逐步的技术突破,以及进口替代市场的巨大。 CMP 抛光液环节,美国厂商美国厂商 Cabot 以及 Dow 共占据了约 42%的市场份额; CMP 抛光垫方面,美国厂商美国厂商 Dow 以及 Cabot 共占据了约 88%的市场份额。 图表 173:抛光液主要生产企业 图表 174:抛光垫主要生产企业 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 鼎龙股份鼎龙

266、股份国内国内 CMP 抛光垫龙头抛光垫龙头,多产品维度突破,多产品维度突破。鼎龙股份成立于 2000 年,二十余年来始终重视技术整合和技术平台,已打造七大技术平台。2013 年全面完整开启彩色聚合碳粉全球布局。2013 年起延伸布局拓展至集成电路行业,着重研发 CMP 抛光垫。当前公司在 CMP 抛光垫领域已经实现全制程、全节点覆盖,在 2021 年已成为部分客户一供,国内领先优势显著,未来随着客户产能持续释放,及公司份额提升,抛光垫业务有望迎来持续放量增长。CMP 抛光液方面,公司氧化层抛光液产品在 2022 年取得小量订单,Al 制程抛光液进入客户吨级采购阶段,客户端取得突破性进展;氧化层

267、抛光液获得国内主流晶圆厂客户 20 吨订单。此外公司实现自主制备抛光液核心原材料研磨粒子,一方面打破海外垄断,同时提升了盈利能力。清洗液方面公司已取得 Cu 制程抛光垫, 11.3, 37%抛光液, 14.3, 47%钻石碟, 4.7, 16% 2022 年 06 月 22 日 P.85 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 CMP 清洗液小量订单。 柔显及其他材料蓄势待发,打开新增长极。柔显及其他材料蓄势待发,打开新增长极。YPI 方面,公司 2021 年完成客户验证并实现近千万营收,2022 年跟随下游 AMOLED 厂稼动率提升,公司 YPI 或进一步放量。公司预计 2025

268、 年 PSPI 国内市场规模有望达到 35 亿元,TFE-INK 接近 10 亿元,当前行业被海外垄断背景下,鼎龙PSPI、TFE-INK产品中试结束且客户验证良好。我们认为公司在第一成长线 CMP Pad 逐步在国内外同步发力期间,其他电子材料产品有望逐步推动公司天花板及未来空间的发展,打开第二/三增长曲线。 安集科技成立于 2006 年 2 月,坚持自主创新,长期致力于为集成电路行业提供汇集创新驱动、高性能及成本优势的产品和技术解决方案。当前公司成功打破了国外当前公司成功打破了国外厂商对集厂商对集成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供成电路领域化学机械抛

269、光液的垄断,实现了进口替代,使我国具备在该领域的自助供应能力。应能力。公司主营业务为关键半导体材料半导体材料的研发和产业化,目前产品包括不同系列的化化学机械抛光液学机械抛光液和光刻胶去除剂光刻胶去除剂,主要应用于集成电路制造和先进封装领域。 研磨液多产品得以突破,紧跟行业多面拓展。研磨液多产品得以突破,紧跟行业多面拓展。当前公司拳头产品铜(含阻挡层)已经在多方客户实现突破,14nm 稳定量产的同时,10-7nm 逐步突破,并且突破逻辑、存储两大领域。此外公司钨研磨液已在长存得到应用,也在积极配合客户实现二氧化铈的验证。在光刻胶去除剂方面,公司光刻胶去除剂已量产并且持续扩大应用;28nm 技术节

270、点后段硬掩模工艺光刻胶去除剂的验证工作正在按计划进行,以加快实现国产化供应;14nm 技术节点后段蚀刻残留物去除剂的研究仍在按计划进行。 国内需求巨大,客户国内需求巨大,客户+品类同步拓展,渗透率提升带动营收利润天花板激增。品类同步拓展,渗透率提升带动营收利润天花板激增。随着内资晶圆厂扩产加速,制程提高,对于抛光液的用量得到了明显的提升。安集科技从铜向钨,钨向氧化物方向的品类推展将近一步实现国产替代,完成对自身潜力的进一步提高。 十二、硅片:十二、硅片: “第四次硅含量提升周期” ,全球硅片需求大幅“第四次硅含量提升周期” ,全球硅片需求大幅提升提升 12英寸硅片主要用于英寸硅片主要用于65n

271、m以下节点,也是台积电千亿资本开支主要投资领域。以下节点,也是台积电千亿资本开支主要投资领域。12英寸硅片主要用于制程节点较为先进的产品,根据 SUMCO 估计,目前 12 英寸硅片需求中接近80%都是用于65nm以下较为先进的制程。从硅片的直接下游晶圆厂来看,台积电 2021 年 4 月宣布的三年千亿美金资本开支,其中 2021 年的超 300 亿美金资本开支中,80%用于先进制程,包括3/5/7nm;2022年CaPex指引400-440亿美金,其中70-80%用于先进制程,包括2/3/5/7nm。中芯国际表示,公司 2022年 12英寸产能增长将远远超过 2021年。联电 2021年起的

272、三年计划投资1500亿新台币(约合54.1亿美元)用于台湾省 12A 厂 P5、P6 的扩产。华虹三座 8 英寸厂 2021 年全年满产,无锡 12 英寸厂产能持续爬坡,2022 年月产能预计由年初的 6.5 万片提升至年底 9.5 万片。 逻辑芯片和存储是逻辑芯片和存储是 12 英寸需求增长主要驱动力。英寸需求增长主要驱动力。根据 SUMCO 估计,按终端应用领域来看,智能手机和数据中心是 12 英寸硅片需求的两个最大来源,同时也是到 2025 年12 英寸硅片需求绝对值增长最大的部分。5G、远程办公等数字化需求使得全球产生的数据量发生爆炸式增长,从而推动了智能手机和数据中心对存储和逻辑芯片

273、需求的增长。 2022 年 06 月 22 日 P.86 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 175:按终端应用领域分的 12 英寸硅片需求(千片/月) 资料来源:SUMCO(2021Q4),国盛证券研究所 SUMCO 预测,全球 12 英寸抛光片 2021 年到 2025 年月产能将由 443.9 万片增长到555.4 万片,CAGR 5.8%,外延片由 236.9 万片增长至 268.2 万片,CAGR 3.2%。 图表 176:全球 12 英寸抛光片及外延片需求(千片/月) 资料来源:SUMCO(2021Q3),国盛证券研究所 物联网、汽车电动化物联网、汽车电动化等趋

274、势带动等趋势带动 8英寸英寸硅片硅片需求需求增长增长。按照产品来分,全球8英寸晶圆代工产能中 CIS 占比最高,达到 22%,模拟芯片及功率分立器件分列二、三位,占比分别为 19%、16%,此外显示驱动芯片(占比 11%) 、MCU(占比 10%)与指纹识别传感器(占比 6%)同样占据重要地位。基于上述芯片的需求预测,在不考虑主要产品大规模转移至 12 英寸平台的假设下,预计 2021-2025 年全球 8 英寸代工需求有望实现3.5%的年复合增长率,主要基于:1)物联网快速渗透,人工智能装置的数量提升带动指纹识别产品、电源芯片、智能设备 MCN 等需求快速增长;2)汽车电动化及 ADAS 的

275、快速发展带动 CIS、功率器件等需求提升;3)疫情后汽车/工业/消费电子等终端市场需求复苏。 2022 年 06 月 22 日 P.87 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 177:2020 年全球 8 英寸晶圆代工厂下游需求分布 图表 178:全球 8 英寸晶圆下游产能需求预测(等效 8 寸片,千片/月) 资料来源:Omdia,Semico,国盛证券研究所 资料来源:Omdia,Semico,国盛证券研究所 中国大陆厂商是中国大陆厂商是 8英寸晶圆扩产主力军英寸晶圆扩产主力军。SEMI预计 20202024年全球 8英寸晶圆厂的产能将提高 17,达到每月 660万个晶圆的

276、历史新高,并预计到 2021年年底,中国大陆 8 英寸的产能将居全球领先地位,市场份额将达到 18。从集微网统计的国内晶圆厂产能及扩产计划来看,国内 8 英寸 2020 年产能约 74 万片/月,总计划产能达到 135万片/月。 图表 179:全球 8 英寸晶圆厂产能情况(千片/月) 资料来源:SEMI,国盛证券研究所 行业新增产能有限,下游硅片库存连续下降。行业新增产能有限,下游硅片库存连续下降。从当前全球半导体硅片实际供应量来看,SUMCO 估计 2021Q4 全球 8 英寸硅片月出货量约 600 万片,12 英寸硅片月出货量接近800 万片。在下游需求非常旺盛的情况下,硅片出货量在 20

277、21 年三四季度呈现持平的情况,也反映了全球硅片产量当前几乎达到了顶点,少有新增产能贡献。而从客户 12英寸硅片库存来看,客户每月的硅片投入量均大于购买量,2021 年硅片库存已经呈现连续 15 个月下降。SUMCO 估计 2022Q1 客户库存天数已经下降到仅 1 个月。 CIS, 22%功率分立器件, 16%模拟集成电路, 19%显示驱动, 11%指纹识别传感器, 6%MCU, 9%其他, 17%05,00010,00015,00020,00025,00030,00035,00040,000201920202021E2022E2023E2024E2025E其他MCU指纹识别传感器显示驱动模

278、拟集成电路功率分立器件CIS 2022 年 06 月 22 日 P.88 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 180:全球 8 英寸硅片季度出货预测(千片/月) 图表 181:全球 12 英寸硅片季度出货预测(千片/月) 资料来源:SUMCO,国盛证券研究所 资料来源:SUMCO,国盛证券研究所 图表 182:晶圆厂 12 英寸硅片库存 资料来源:SUMCO,国盛证券研究所 五大家扩产计划于五大家扩产计划于 2021 年下半年年下半年才陆续才陆续推出,新增产能至少推出,新增产能至少 2023 年下半年才能开年下半年才能开出出: 信越化学:信越化学:根据 2021 年 11

279、月日本化学工业日报,为满足下游增长的逻辑芯片需求,信越化学计划扩产半导体硅片。 Sumco(胜高胜高) :) :2021 年 9 月底宣布将投资 2287 亿日元(约 126 亿人民币) ,其中约 111 亿用于在原来日本佐贺县旁边新建 12 英寸硅片厂房,剩下的用于日本境内的子公司扩产,2022年开始建设,预计2023年下半年逐步投产,2025年达产; 台塑胜高科技(台塑与胜高合资公司) :台塑胜高科技(台塑与胜高合资公司) :2021 年 11 月底宣布投资 282 亿新台币(约 64 亿人民币)在台湾云林县扩产,预计 2024 年开始投产,台塑胜高 2021 年产能为 12 英寸硅片 3

280、0 万片/月,8 英寸 33 万片/月; 环球晶圆:环球晶圆:2022年 2月收购 Sitronic宣告失败后,将原规划用于收购案的资金,将转为资本支出及营运周转使用,计划未来三年(2022-2024 年)投资 36 亿美金(230 亿人民币)扩产,其中 20 亿美金用于 Greenfield(新厂) ,16 亿美金用于Brownfield(现有设施扩产) ,现有设施的额外产能将从 2023 年下半年开始上线,而新工厂将于 2024 年投入运营; Siltronic(世创) :(世创) :2021 年 10 月公告,计划到 2024 年底投资约 20 亿欧元(约 2022 年 06 月 22

281、日 P.89 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 141 亿人民币)用于在新加坡扩产 300mm 硅片。 SK Siltron:2022年 3月公告,计划投资 12亿美金扩产 300mm硅片,于 2022年上半年开始建设,计划在 2024 年上半年开始量产。 因此因此 SUMCO 预测至少到预测至少到 2023 年底,全球年底,全球 12 英寸的供需失衡都无法英寸的供需失衡都无法得到得到缓解。缓解。 图表 183:全球 12 英寸硅片供需情况 资料来源:SUMCO,国盛证券研究所 SUMCO:所有产能已被长协订单订满至:所有产能已被长协订单订满至 2026 年年 SUMCO 20

282、21Q4 报继续靓丽。报继续靓丽。SUMCO 在 2021Q4 实现收入 912 亿日元,同比增长25.7%,环比增长1.9%,超过公司此前预期的885亿营收。2021Q4毛利率达到25.2%,净利率 15.5%。展望 2022Q1,公司预计营收达到 990 亿日元,环比提升 8.6%。公司认为 2021Q4 和 2022Q1 的营收增长主要来源于价格提升,2021 年全年公司 12 英寸硅片价格提升了约 10%,由于公司 12 英寸几乎全部是长协客户,故 2021 年公司 12 英寸价格的提升主要来源于原有长协订单价格的提升而非现货价格提升。 2021Q4:下游逻辑和存储对 300mm 硅片

283、需求仍然非常旺盛,供应紧张持续;200mm及以下规格的硅片同样由于汽车电子、消费及工业需求,行业同样供不应求,这也与我们多次强调的物联网、工业与汽车是第四次硅含量提升核心应用相互验证。价格方面,价格方面,公司已有长协订单价格不变,12 英寸和 8 英寸产品现货价格持续走高。 2022Q1:12 英寸及 8 英寸硅片供需失衡延续。价格方面,价格方面,12 英寸英寸 Greenfield 的长的长协订单协订单2022年就已经开始签订。不同客户价格有差异,但总体来讲长协订单的价格设年就已经开始签订。不同客户价格有差异,但总体来讲长协订单的价格设计是在未来几年阶梯式上升的,并预计在计是在未来几年阶梯式

284、上升的,并预计在 2024 年达到价格高点,年达到价格高点,2025-2026 年持平。年持平。公司在 8 寸产能方面没有更多投资,因此价格预计跟随市场价格提升。 台塑胜高方面,公司计划扩产量接近 SUMCO 扩划扩产产能的一半,此前台塑胜高的接近 100%的订单都来自于现货市场,未来(包括扩产后)公司长协订单将占 50%。 长期来看,长期来看,SUMCO 2026 年之前的全部产能扩张及新增产能建设都已经被长协订单订年之前的全部产能扩张及新增产能建设都已经被长协订单订完!完!公司 2022-2023 年到期的 12 英寸长协订单客户,几乎都在 2022 年会重新跟客户签订长约。 2022 年

285、 06 月 22 日 P.90 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 184:SUMCO 季度及同比增速 图表 185:SUMCO 毛利率及净利率 资料来源:彭博,国盛证券研究所 资料来源:彭博,国盛证券研究所 信越化学:硅片产能持续满产,电子材料业务稳健增长信越化学:硅片产能持续满产,电子材料业务稳健增长 信越 CY21Q4 财报显示其电子材料业务营收 15.9 亿美金,同比增长 11.7%,营业利润5.7 亿美金,同比增长 12.8%,营业利润率达到 35.9%。信越化学认为从宏观环境,包括地缘政治因素,以及近期全球芯片紧缺使得下游客户库存水平未来将高于目前的标准。此外公

286、司认为 2022 年有望成为元宇宙投资元年,下游高速通信、智能汽车以及碳中和等领域将带来电子终端设备的快速增长。 硅片硅片扩产方面,公司遵循根据客户订单进行逐步扩产的原则,目前公司产能持续满产,扩产方面,公司遵循根据客户订单进行逐步扩产的原则,目前公司产能持续满产,但仍不能满足客户需求。但仍不能满足客户需求。2022 和 2023 年的原有设施扩产比较有限,新厂建设只能从2024年才能开始贡献产能,因为公司认为 300mm硅片供不应求将持续一段时间。短期内产能无法快速提升,设备供应商产能同样受限。2022 年有部分客户在提价的同时获得更多产能,2023 年长协到期的合同比 2022 年少,价格

287、会跟产量同步增长,2024 年由于新产线的开出,公司预计价格会更上一个台阶。 图表 186:信越化学电子材料季度营收及增速 图表 187:信越化学电子材料季度盈利水平及资本投资情况 资料来源:彭博,国盛证券研究所 资料来源:彭博,国盛证券研究所 -30%-20%-10%0%10%20%30%40%40045050055060065070075080085013Q1 14Q1 15Q1 16Q1 17Q1 18Q1 19Q1 20Q1 21Q1主营业务收入(百万美金)yoy0%5%10%15%20%25%30%35%40%14Q1 14Q4 15Q3 16Q2 17Q1 17Q4 18Q3 19

288、Q2 20Q1 20Q4 21Q3毛利率净利率0%2%4%6%8%10%12%14%16%1212.51313.51414.51515.51616.520Q220Q320Q421Q221Q321Q4电子材料营收(亿美金)yoy050030034.6%34.8%35.0%35.2%35.4%35.6%35.8%36.0%36.2%36.4%20Q220Q320Q421Q221Q321Q4电子材料资本投入(百万美元)电子材料营业利润率 2022 年 06 月 22 日 P.91 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 188:台胜科月度营收情况 资料来源:Wi

289、nd,国盛证券研究所 环球晶圆:环球晶圆:2022 年年 ASP 预计进一步提升,预计进一步提升,2024 年前产能均已售罄年前产能均已售罄 2021Q4及全年营收创历史新高。及全年营收创历史新高。得益于产能利用率提升、ASP增加以及产品结构优化,公司2021Q4实现营收158亿新台币,同比增长11.4%,环比连续九个季度增长。2021年全年营收 611 亿新台币,同比增长 10.4%。2021Q4 单季度毛利率创历史新高,达到41.3%,全年毛利率 38.1%,达到历史第二高水平。公司所有尺寸、所有型号的产能自2021年中开始都持续满载,新增订单价格上升,截至 2021 年底公司预收款达到

290、286 亿新台币(约 10亿美金) ,单四季度新增预收款 62亿新台币。公司看到 2022年预收款仍在持续增加,并预计 2022 年仍将保持产能满载,ASP 增加以及产品结构优化。2022 年前两个月,公司分别营收 52.16/53.56 亿新台币,同比增长 14.67%/16.15%。 下游需求旺盛,下游需求旺盛,36 亿美金亿美金资本开支全球资本开支全球扩产。扩产。公司计划总资本开支 36亿美金用于新建产能及原有设施扩产。欧洲:欧洲:意大利子公司 MEMC SPA,在原来的晶体生长模块规划基础上,增加新的 12 英寸抛光和外延片硅片模块,共同构成公司在意大利的第一条完整的 12 英寸硅片产

291、线,预计 2023Q2 开始运行。欧洲除意大利外,丹麦产线也将进行扩产;美国:美国:扩产 300mm SOI 及 SiC 外延片;日本:日本:扩产 300mm 退火片以及外延片;韩国:韩国:建设新硅片厂;中国台湾:中国台湾:扩产高端 300mm 外延片,并会大规模扩产 SiC 和GaN 产能。 2024 年前产能均已售罄。年前产能均已售罄。公司 2022、2023、2024 年产能已基本售罄,现有设施的扩产几乎都被长协订单覆盖。展望 2022年,ASP预计较 2021年进一步提升,从二季度开始还会有新的长协订单增加。由于公司收购 Siltronic 的计划于今年 2 月才被德国政府否决,因此与

292、客户在新建产能(Greenfield)的长协订单仍在商定中,目前新厂寻址暂时未定,仍需几个月时间确定,但与设备等供应商的协商已经在同步进行中。 67895Jan-14 Aug-14 Mar-15 Oct-15 May-16 Dec-16 Jul-17Feb-18 Sep-18 Apr-19 Nov-19 Jun-20 Jan-21 Aug-21 Mar-22单月营收(亿元新台币) 2022 年 06 月 22 日 P.92 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 189:环球晶圆季度营收及同比增速 图表 190:环球晶圆盈利水平 资料来源:彭博,国盛证券研

293、究所 资料来源:彭博,国盛证券研究所 2021 年年全球硅全球硅片出货面积及营收双创新高片出货面积及营收双创新高。根据 SEMI 统计,全球整体来看,2021 年半导体硅片营收规模达到 126 亿美元,出货面积达到 14,165 百万平方英尺。硅片已成为数字化转型及新技术发展的重要承载体,受下游汽车、工业、物联网等旺盛需求驱动,SEMI 预计 2024 年全球出货面积增长到 16,037 百万平方英尺。根据 SEMI 出货面积及营收规模测算出的单位面积价格来看,2021年单位平方英尺价格为 0.89美金,较2020年 0.90 美金略有下降,根据图 36 和 37 SUMCO 估计的硅片出货量

294、,我们认为主要是由于硅片尺寸出货结构的变化所致。 图表 191:全球硅片营收规模(亿美元,%) 图表 192:全球硅晶圆出货面积(百万平方英寸,%) 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 -20%-15%-10%-5%0%5%10%15%20%25%30%35%40042044046048050052054056058018Q118Q319Q119Q320Q120Q321Q121Q3主营业务收入(百万美金)yoy0%5%10%15%20%25%30%35%40%45%18Q118Q319Q119Q320Q120Q321Q121Q3毛利率净利率-50%-40%-

295、30%-20%-10%0%10%20%30%40%50%02040608001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半导体硅片营收规模(亿美元)yoy-40%-30%-20%-10%0%10%20%30%40%50%020004000600080004000160002001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半导体硅晶圆出货面积(百万平方英寸)YoY(%) 2022 年 06 月 22 日 P.93 请仔细阅读本报告

296、末页声明请仔细阅读本报告末页声明 图表 193:不同尺寸半导体硅片的市场份额预测 图表 194:全球硅片平均单价及增速 资料来源:沪硅产业定增募集说明书,SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 复盘半导体硅片价格,复盘半导体硅片价格,2009-2011 年在后金融危机影响下,全球主要硅片制造商取消扩产计划导致供给端收缩,因此硅片价格呈小幅上升趋势。但 2012 年开始,硅片价格开始不断下滑,硅片价格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平方英寸,主要由于制造商扩产计划顺利实施使得硅片市场产能过剩。在经历了六年的持续下滑后,硅片

297、价格在 2017 年重回上升通道,2017-2019 年硅片价格由 0.74 美元/平方英寸上涨至0.95美元/平方英寸,主要由于新能源汽车等新兴市场快速发展、5G手机的快速渗透带来半导体终端市场需求强劲,市场供需结构发生变化。 我们认为,当前或再现我们认为,当前或再现 2016-2018 年上一轮全球半导体硅片需求和供给的“剪刀差”年上一轮全球半导体硅片需求和供给的“剪刀差” 。上一轮硅片供需失衡在 2016-2018 年,根据 SEMI 数据,彼时硅片单位面积价格上涨了33.5%,半导体硅片厂商营收及盈利水平实现了快速提升。我们认为此轮行业供需失衡有望再现上一轮硅片供需“剪刀差”带来的硅片

298、涨价,从硅片龙头厂商近期营收及法说会口径可以看出,信越化学、SUMCO、GlobalWafers 等营收及业绩预期强劲,当前新增产能有限背景下,涨价是重要营收驱动因素。同时海外龙头新增产能均预计在 2023年下半年才能陆续开始爬坡,行业长协订单比重增加,我们判断当前硅片行业供需失衡将至少持续至 2023 年底。 图表 195:2016-2018 年半导体硅片厂商盈利水平快速提升 资料来源:SEMI,国盛证券研究所 从中国台湾硅片进口数据也可以看到,上一轮“硅片剪刀差”2016-2018 年 12 英寸硅片价格提升明显。本轮价格也有逐步提高的趋势,2021 年 12 月 ASP 较 2021 年

299、 1 月提升了5.1%,进入2022年价格提升更加明显,2022年2月ASP较2021年12月13.2%。考虑到台积电目前主要晶圆厂均位于中国台湾,台积电作为全球晶圆代工龙头,具有较0.941.401.241.421.191.251.401.401.001.041.090.960.830.750.690.670.740.900.950.900.89-40%-30%-20%-10%0%10%20%30%40%50%60%00.20.40.60.811.21.41.62001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021ASP(美元/平方英尺)

300、yoy 2022 年 06 月 22 日 P.94 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 强的议价能力,因此全球来看硅片价格提升具有较高的确定性。 图表 196:中国台湾 12 英寸及以上硅片月度进口价格及趋势 资料来源:中国台湾财政部门,国盛证券研究所 图表 197:中国台湾 12 英寸及以上硅片进口量(万片/月) 图表 198:中国台湾 8”及以上 12”(不含)以下硅片进口量(万片/月) 资料来源:中国台湾财政部门,国盛证券研究所 资料来源:中国台湾财政部门,国盛证券研究所 十十三三、电子特气:需求空间大,拉开进口替代序幕电子特气:需求空间大,拉开进口替代序幕 电子特种气体是

301、集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气体市场规模将达到 230亿元,2018-2024年复合增速将达11.2%。电子特气将为中国新兴产业的发展注入新动力。 0500中国台湾12英寸及以上硅片进口量12寸及以上硅片进口量(万片/月)3个月移动平均0500300中国台

302、湾8及以上12(不含)以下进口量8及以上12(不含)以下硅片进口量(万片/月)3个月移动平均 2022 年 06 月 22 日 P.95 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 199:我国电子特气市场规模(亿元) 图表 200:高纯电子特气市场格局(按应用) 资料来源:前瞻产业研究院,国盛证券研究所 资料来源:前瞻产业研究院,国盛证券研究所 电子特气按照用途可分为蚀刻及清洗气体、成膜气体、掺杂气体三大类。在半导体集成电路中,电子气体主要应用于蚀刻、掺杂、CVD、清洗等。在晶圆制程中部分工艺涉及气体刻蚀工艺的应用,主要涉及 CF4、NF3、HBr等;掺杂工艺即将杂质掺入特定的半

303、导体区域中以改变半导体的电学性质,需要用到三阶气体 B2H6、BF3以及五阶气体 PH3 、AsH3等;在硅片表面通过化学气相沉积成膜(CVD)工艺中,主要涉及 SiH4、SiCl4、WF6等。 在显示面板产业中,在薄膜工序中需要通过化学气相沉积在玻璃基板上沉积薄膜,需要使用 SiH4、PH3、NF3 、NH3等。在干法蚀刻工艺中,需要在等离子气态氛围中选择性腐蚀基材,需要用到 SF6、HCl、Cl2等;在 LED 产业中,外延技术需要高纯电子特气包括高纯砷烷、高纯磷烷、高纯氨气,HCl和Cl2常常用做蚀刻气;在太阳能光伏产业中,晶体硅电池片生产中的扩散工艺需要用到POCl3,减反射层等PEC

304、VD工艺需要用到 SiH4、NH3,蚀刻需要用到 CF4。薄膜太阳能电池在沉积透明导电膜工序中需要用到 B2H6等。 图表 201:电子气体分类 分类分类 包含气体包含气体 蚀刻及清洗气体 Cl2、HCl、NF3、SF6、HBr、SiF4、CF4、CHF3、CH2F2、CH3F、CClF3、CHFCl2、C2ClF5、HF 等 成膜气体 SiH4、SiHCl3、SiCl4、BBr3、Si2H6、GeH4、NH3、NO、N2O、WF6、BCl3等 掺杂气体 AsH3、PH3、B2H6、AsCL3、AsF3、BF3、POCl3等 资料来源:巨化集团有限公司,国盛证券研究所 三氟化氮(NF3)是目前

305、应用最广的电子特气,占全球电子气体产量约 50%。NF3在卤化氮中最稳定,是一种强氧化剂。在离子蚀刻时裂解为活性氟离子,氟离子对硅化合物、钨化合物有优异的蚀刻速率和选择性。并且,三氟化氮在蚀刻时,蚀刻物表面不留任何残留物,是良好的蚀刻、清洗剂。大量应用于半导体、液晶和薄膜太阳能电池生产工艺中。 2022 年 06 月 22 日 P.96 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 202:电子气体分种类份额占比 资料来源:林德化工,国盛证券研究所 图表 203:电子特气在晶圆制造中的应用 资料来源:巨化集团有限公司,国盛证券研究所 两个主要因素推进了我国电子特气的需求高速增长。首先

306、,近年来电子气体下游产业技术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18 寸,制程技术从 28nm到 7nm;显示面板从 LCD到刚性 OLED 再到柔性、可折叠 OLED 迭代;光伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链不断向亚洲、中国大陆地区转移,近年来以集成电路、显示面板为主的电子特气需求快速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带来了持续、强劲的拉动。 2022 年 06 月 22 日 P.

307、97 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 204:我国集成电路产业销售额 图表 205:全球各地区 OLED 产能占比情况及预测 资料来源:SEMI,国盛证券研究所 资料来源:IHS,国盛证券研究所 根根据据 Techcet,预计,预计 2022 年全球电子气体市场规模有望达到年全球电子气体市场规模有望达到 70 亿美金,其中特气约亿美金,其中特气约50 亿美金,亿美金,电子电子大宗气体大宗气体 20 亿美金。亿美金。 图表 206:全球电子特气市场规模(亿美金) 图表 207:全球电子气体市场规模及预测(百万美元) 资料来源:SEMI,国盛证券研究所 资料来源:Techc

308、et,国盛证券研究所 海外龙头在海外龙头在大陆大陆占八成以上份额,国产替代空间巨大。占八成以上份额,国产替代空间巨大。根据 SEMI 及前瞻产业研究院,2010-2018 年我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。海外大型气体公司占据了中国电子大陆特气 80%以上的市场份额,美国空气化工、德国林普、日本昭和电工、大阳日酸、法国液化空气为份额最大的5家海外公司,其中CR3为75%左右,CR5 为 85%左右,国产替代空间巨大。 0554045201320142015

309、20019 2022 年 06 月 22 日 P.98 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 208:我国电子特气市场规模(亿元) 图表 209:我国电子气体市场格局(2020 年) 资料来源:智研咨询,国盛证券研究所 资料来源:前瞻产业研究院,国盛证券研究所 凯美特气凯美特气深耕气体行业三十余年,盈利水平居行业前列。深耕气体行业三十余年,盈利水平居行业前列。凯美特气成立于 1991 年,以石油化工尾气(废气) 、火炬气为原料,生产和销售工业气体、医用气体、标准气体、特种气体、混合气体、食品添加剂气体、电子化学品等气体产品。2018 年公司成立岳阳凯美特

310、电子特种稀有气体公司,布局电子气体,生产高纯度二氧化碳、氦气、氖气、氩气、氟氩氖、氟氪氖等半导体、面板、航天、医疗等领域急需的超高纯气体和多元混配气。 公司高度保障原料供应,规避价格波动降低生产成本。公司高度保障原料供应,规避价格波动降低生产成本。公司生产多类超高纯气体和多元混配气,这些电子特气对应原料为二氧化碳、稀有气体原气等。公司针对各类原料的保障问题均作出布局: 二氧化碳:二氧化碳:公司自产的食品级二氧化碳质量稳定,产能充足,可以保证电子特气用原料稳定供应; 稀有气体:稀有气体: 1)公司计划在上游巴陵石化 9 万空分新建装置加装稀有气体提取装置,预计未来实现稀有气体氦氖氪氙的原料气自供

311、。2)自2018年进入电子特气行业以来,公司处于自身原料安全考虑已储存了一部分稀有气体原料,这部分原料可在自产稀有气体开始供给前满足公司的相关原料需求。 产品生产线布局循序渐进,充分利用公司自有优势提升效益。产品生产线布局循序渐进,充分利用公司自有优势提升效益。岳阳电子特气项目于2020 年 7 月正式投产,目前已生产出的合格产品有:99.99996%二氧化碳、99.9999%氦气、99.999%氖气、99.9999%氩气、99.999%氪气、99.9995%氙气、99.997%一氧化碳、99.9999%氮气、99.9999%氢气、氯化氢基准分子激光配气、氟基准分子激光混配气、动态激光混配气,

312、已获得生产许可的产能有氪压缩的或液化的11750 Nm /年、氙压缩的或液化的900Nm /年、氖压缩的或液化的68000Nm /年等。同时,随着宜章电子特气项目建设公司将增加高纯氯化氢、氟化氢、溴化氢、氘代系列气体等,进一步完善公司产品体系。 0500200024E中国电子特气市场规模 2022 年 06 月 22 日 P.99 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 210:公司电子特气项目建设规划 项目项目 气体气体 产能产能 岳阳电子特气项目 二氧化碳 360000Nm/年 一氧化碳 25000Nm/年

313、氪(压缩的或液化的) 11750Nm/年 氙(压缩的或液化的) 900Nm/年 氢 144000Nm/年 氮(压缩的或液化的) 144000Nm/年 氦(压缩的或液化的) 144000Nm/年 氩(压缩的或液化的) 144000Nm/年 氖(压缩的或液化的) 68000Nm/年 氟基激光混配气 14000Nm/年 氯化氢基激光混配气 3600Nm/年 动态混配气 8600Nm/年 宜章电子特气项目 氯化氢基激光混配气、溴化氢、碘化氢、氟基混配气、五氟化锑、三氟化氯、碳酰氟、乙炔、氘气 资料来源:公司公告,国盛证券研究所 持续获得龙头客户认证持续获得龙头客户认证,混配气有望开始放量。,混配气有望

314、开始放量。公司各类电子特气已通过或正进行在下游全球各大龙头厂商处的认证,其中公司二氧化碳、氪、氙产品已于 2021 年 2 月通过法国液化空气认证,公司用于 ExciStar 激光器的 193nm 激光混配气已于 2021 年 9 月通过美国相干的设备测试,同时公司混配气产品在阿斯麦、大阳日酸等厂商的认证仍在有序推进。参考公司氪、氙产品的认证与放量经历,公司混配气有望开始放量。 图表 211:公司电子特气产品认证情况 资料来源:公司公告,国盛证券研究所 稀有气体稀有气体产品放量势头强劲,产品放量势头强劲,成为成为公司公司盈利增长提供重要驱动力。盈利增长提供重要驱动力。2021 年公司实现高纯(

315、99.999%)氪气氙气氖气销售共1938万元,2022年3月22日公司签订价值4500万元氖气销售合同,电子特气业务有望成为公司利润增长的又一重要驱动力。 2022 年 06 月 22 日 P.100 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 212:2021 年至今公司披露的电子特气销售订单 披露披露日期日期 产品产品 金额(万元)金额(万元) 2021.3.17 氪气 342.7 2021.5.14 氪气 384.3 氪气 225.2 2021.11.3 氙气 424.7 2021.12.20 氪气 506.0 氖气 55.2 2022.3.22 氖气 4500.0 资料来

316、源:公司公告,国盛证券研究所 十十四四、湿电子湿电子化学品:内资龙头效应显著化学品:内资龙头效应显著 湿电子化学品,也叫超净高纯试剂,为微电子、光电子湿法工艺制程中使用的各种电子化工材料。主要用于半导体、太阳能硅片、LED 和平板显示等电子元器件的清洗和蚀刻等工艺环节。按用途主要分为通用化学品和功能性化学品,其中通用化学品以高纯溶剂为主,例如氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸等;功能性化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的配方类或复配类化学品,主要包括显影液、剥离液、清洗液、刻蚀液等。 湿电子化学品目前广泛应用在半导体、平板显示、太阳能电池等多个领域,湿电子化学品在半导

317、体晶圆制程中应用于晶圆清洗、刻蚀、显影和洗涤去毛刺等工艺,在晶圆领域制造和封测领域应用分布广。国际半导体材料和设备组织(SEMI)制定了 5 个超纯净试剂的国际分类标准,应用领域的不同对超纯净试剂要求的等级也不同,半导体领域要求的等级比平板显示和光伏太阳能电池领域的要求高,基本集中在 SEMI3、G4 的水平,我国的超纯净试剂研发水平与国际水平上游差距,大多集中在 G2 的水平。 图表 213:美国 SEMI 工艺化学品的国际标准等级 SEMI 标准 C1 Grade1 C7 Grade2 C8 Grade3 C12 Grade4 Grade5 金属杂质/(g/L) 100 10 1 0.1

318、0.01 控制粒径/m 1.0 0.5 0.5 0.2 * 颗粒个数/(个/mL) 25 25 5 双方协定 * 适应 IC 线宽范围/m 1.2 0.8-1.2 0.2-0.6 0.09-0.2 0.09 资料来源:SEMI,国盛证券研究所 全球的湿电子化学品市场大多被欧美和日本公司占据,其中欧美公司主要有 BASF、霍尼韦尔、ATMI、杜邦、空气产品公司,营收合计占比 37%左右;日本公司主要有关东化学、三菱化学、京都化工、住友化学、宇部兴产、森田化学等,营收合计占比 34%左右;台湾地区和韩国公司主要有台湾东应化、台湾联士电子、鑫林科技、东友、东进等,营收合计占比 17%左右。大陆企业主

319、要有浙江凯圣、湖北兴福、上海新阳、苏州 2022 年 06 月 22 日 P.101 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 晶瑞、江化微、江阴润玛、杭州格仕达、贵州微顿品磷等,营收占全球市场 10%左右,技术等级主要集中在 G2 以下仅有少部分企业达到 G4 以上标准。 在众多工艺化学品企业中,上海新阳已成为先进封装和传统封装行业所需电镀与清洗化学品的主流供应商,其超纯电镀硫酸铜电镀液已成功进入中芯国际、海力士的 28nm 大马士革工艺制程,成为 Baseline产品,进入工业化量产阶段;湖北兴福电子材料有限公司磷酸、浙江凯圣氟化学有限公司氢氟酸等也都在 8-12 英寸工艺认证中取

320、得较好效果,即将投入量产应用。 十五十五、投资建议投资建议 15.1 设备设备 全球领先的晶圆代工厂将在全球领先的晶圆代工厂将在 20212023 年之间进行大规模的半导体设备投资,当前年之间进行大规模的半导体设备投资,当前的行业热潮有望成为新的行业热潮有望成为新一轮产业跃升的开端。一轮产业跃升的开端。根据 IC Insights,全球代工厂资本开支约占半导体总体的 35%,头部代工厂 2022 年资本开支规划进一步提升。台积电 2021 年CapEx 300亿美金(用于 N3/N5/N7 的资本开支占 80%) ,预计 2022年资本开支将提升至 400-440 亿美金;联电 2021 年

321、CapEx 18 亿美金,预计 2022 年翻倍达到 36 亿美金(其中 90%将用于 12 英寸晶圆) ;GlobalFoundries 于 2021 年 IPO 后资本开支大幅提升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金,预计 2022年超过 40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月) ,预计 2022 年达到 50 亿美金。 2021 年年全球半导体设备市场规模全球半导体设备市场规模创创 1026 亿美元新高亿美元新高,大陆,大陆首次占比全球

322、第一。首次占比全球第一。根据SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新高。大陆设备市场在 2013 年之前占全球比重为 10%以内,20142017 年提升至1020%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,2021达到296.2亿美元,同比增长58%,占比28.9%。展望2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。 全球全球设备五强设备五强占市场主导角色占市场主导角色。全球设备竞争格

323、局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。 国内国产化逐渐起航,从国内国产化逐渐起航,从 0 到到 1 的过程基本完成。的过程基本完成。北方华创刻蚀、沉积、炉管持续放量;中微公司 CCP 打入 TSMC,ICP 加速放量;拓荆科技 PECVD 已广泛用于国内知名晶圆厂 14nm 及以上制程产线;精测电子产品迭代加速,OC

324、D、电子束进展超预期;华峰测控订单饱满,新机台加速放量;Mattson(屹唐半导体)在去胶设备市占率全球第二。盛美半导体、至纯科技清洗设备逐步放量。精测电子、上海睿励在测量领域突破国外垄断。 2021 年及年及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备收入、利润快速增长,国产替代持续深化。设备行业核心公司(北方华创、中微公司、芯源微、至纯科技、精测电子、长川科技、华峰测控、万业企业、晶盛机电,拓荆科技及盛美上海由于 2020 年数据不完整未被算入)2022Q1 营业收入总计 72.7 亿元,同比增长 55%;扣非归母净利润 10.7 亿元,同比增长 83%。设备行业持续处于

325、高速增长,国产替代空间快速打开,国内核心设备公司成长可期。 2022 年 06 月 22 日 P.102 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 设备国产化率较低,海外龙头垄设备国产化率较低,海外龙头垄断性较高。断性较高。大陆大陆 12 寸寸晶圆厂建厂潮带动设备需求持续晶圆厂建厂潮带动设备需求持续增长增长。我国半导体设备市场仍非常依赖进口,国内厂商潜在收入目标空间较大。我国半导体设备市场仍非常依赖进口,国内厂商潜在收入目标空间较大。 重点推荐:重点推荐:北方华创、芯源微、新益昌、北方华创、芯源微、新益昌、华海清科、华海清科、拓荆科技、华峰测控、拓荆科技、华峰测控、 中微公司、长川科技

326、、盛美上海、精测电子、至纯科技、万业企业。中微公司、长川科技、盛美上海、精测电子、至纯科技、万业企业。 15.2 材料材料 2021 年全球半导体材料市场规模创新高年全球半导体材料市场规模创新高,中国大陆需求占比,中国大陆需求占比 18.6%。根据 SEMI,强劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9%达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市

327、场规模超过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。 半导体材料供应受限,国产替代进程加速。半导体材料供应受限,国产替代进程加速。从半导体材料方面来看,美国从原材料供应方面进行了限制,这直接致使例如 CMP 材料及电子特气这类美国高市占率产品存在的断供的可能性,进一步推动国产 CMP 及气体厂商的需求及国产替代化进度。随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链逐步的完善,

328、在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。 各类材料持续持续突破,各类材料持续持续突破,国产替代空间广阔国产替代空间广阔。我们选取代表性公司鼎龙股份、雅克科技、凯美特气、金宏气体、沪硅产业、晶瑞股份、立昂微、兴森科技、安集科技和彤程新材,2021 年电子材料营收综合约为 98 亿元人民币,考虑到其他未收录的非上市公司及上市公司,我我们展开乐观假设:中国有着电子半导体材料营收规模们展开乐观假设:中国有着电子半导体材料营收规模 150 亿人民币亿人民币(更多的为中低端产品,高端产品仍然在持续突破及替代) ,在当前在当前 643 亿美元的全球亿美元的全球市场之中也仅仅市场之中也仅仅

329、4%不到的替代率;在中国所需的产值约不到的替代率;在中国所需的产值约 119 亿美元的市场需求中,亿美元的市场需求中,也仅占也仅占 19%,因此可以看到中国无论是在中国市场或者全球市场之中,均有着巨大的国产化空间。 重点推荐:重点推荐:彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、 雅克科技、立昂微、华特气体、金宏气体、晶瑞股份、南大光电。雅克科技、立昂微、华特气体、金宏气体、晶瑞股份、南大光电。 十六十六、风险提示风险提示 国产替代进展不及预期:国产替代进展不及预期:半导体设备及材料新技术难度较高,验证周期较长,具有一

330、定的不确定性 全球贸易纷争影响:全球贸易纷争影响:全球贸易纷争存在不确定性,尤其是科技领域竞争激烈,导致科技产业链具有不稳定性 下游需求不确定性:下游需求不确定性:全球经济受疫情影响,下游需求存在不确定性 2022 年 06 月 22 日 P.103 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 免责声明免责声明 国盛证券有限责任公司(以下简称“本公司”)具有中国证监会许可的证券投资咨询业务资格。本报告仅供本公司的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况下,本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。 本报告的信息均来源于本公司认为可信的公开资

331、料,但本公司及其研究人员对该等信息的准确性及完整性不作任何保证。本报告中的资料、意见及预测仅反映本公司于发布本报告当日的判断,可能会随时调整。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。 本公司力求报告内容客观、公正,但本报告所载的资料、工具、意见、信息及推测只提供给客户作参考之用,不构成任何投资、法律、会计或税务的最终操作建议,本公司不就报告中的内容对最终操作建议做出任何担保。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建

332、议。投资者应当充分考虑自身特定状况,并完整理解和使用本报告内容,不应视本报告为做出投资决策的唯一因素。 投资者应注意,在法律许可的情况下,本公司及其本公司的关联机构可能会持有本报告中涉及的公司所发行的证券并进行交易,也可能为这些公司正在提供或争取提供投资银行、财务顾问和金融产品等各种金融服务。 本报告版权归“国盛证券有限责任公司”所有。未经事先本公司书面授权,任何机构或个人不得对本报告进行任何形式的发布、复制。任何机构或个人如引用、刊发本报告,需注明出处为“国盛证券研究所”,且不得对本报告进行有悖原意的删节或修改。 分析师声明分析师声明 本报告署名分析师在此声明:我们具有中国证券业协会授予的证

333、券投资咨询执业资格或相当的专业胜任能力,本报告所表述的任何观点均精准地反映了我们对标的证券和发行人的个人看法,结论不受任何第三方的授意或影响。我们所得报酬的任何部分无论是在过去、现在及将来均不会与本报告中的具体投资建议或观点有直接或间接联系。 投资评级说明投资评级说明 投资建议的评级标准投资建议的评级标准 评级评级 说明说明 评级标准为报告发布日后的 6 个月内公司股价(或行业指数)相对同期基准指数的相对市场表现。其中 A股市场以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准,美股市场以标普 500 指数或纳斯达克综合指数为基准。 股票评级 买入 相对同期基准指数涨幅在 15%以上 增持 相对同期基准指数涨幅在 5%15%之间 持有 相对同期基准指数涨幅在-5%+5%之间 减持 相对同期基准指数

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业深度:半导体设备&材料国产加速-220622(103页).pdf)为本站 (奶茶不加糖) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部