上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

计算机行业EDA专题报告:行业快速发展国产替代前景可期-220818(76页).pdf

编号:93612 PDF 76页 5.39MB 下载积分:VIP专享
下载报告请您先登录!

计算机行业EDA专题报告:行业快速发展国产替代前景可期-220818(76页).pdf

1、西南证券研究发展中心西南证券研究发展中心 计算机研究团队计算机研究团队 20222022年年8 8月月 计算机行业-EDA专题报告 行业快速发展,国产替代前景可期 1 2 4 核心观点 EDA是集成电路设计的基石 EDA是集成电路设计、仿真、生产的关键工具,是电子设计自动化的重要环节,是集成电路产业链最上游、最高端和最核心的产业。随着工业设备、通信网络、消费电子等终端应用市场的不断发展,我国集成电路市场的需求量稳步提升,带动EDA需求增加,行业进入高速发展期。2020年中国EDA市场规模约93.1亿元,预计2022年将达115.6亿元,2017-2022年CAGR为12.5%,远高于全球EDA

2、市场增速。多重因素推动国内市场发展,国产替代前景广阔 EDA市场目前由国外厂商占据主导,新思科技、楷登电子、西门子EDA三家厂商合计占据全球EDA市场约70%的份额,具有明显优势。海外龙头厂商成立时间早,在政策支持下快速发展,通过多次并购完善产品线布局,已覆盖芯片设计全部环节,并持续投入高额研发费用构筑行业壁垒,在主攻领域加速发展,同时积极与晶圆厂和高校合作,进行技术打磨和人才储备。国内集成电路市场规模快速增长,EDA需求持续增加,在政策积极推动下,国产替代成为必然趋势,国内厂商近年来加速成长,在产品布局、工艺制程、研发投入、生态建设方面取得显著成果,华大九天作为国内EDA领先厂商,不断丰富产

3、品布局,积极推动产业链延伸,增加研发投入,持续打磨技术工艺,同时积极构建国内EDA生态,在多领域形成优势,从而不断扩大市场份额,加速国产替代。主要标的:华大九天、概伦电子、广立微等。风险提示:宏观经济承压、行业竞争加剧、政策支持力度不及预期、下游需求不及预期、国产替代不及预期、客户合作不及预期、研发项目不及预期、市场拓展不及预期等风险。2 4 5 目 录 2 4 1 EDA集成电路设计的基石 2 市场规模快速增长,海外厂商份额领先 3 海外龙头多年积淀,高筑行业壁垒 4 国内厂商迎来机遇,华大九天引领发展 4 4 5 EDA厂商梳理 1.1.1 EDA简介 3 资料来源:电子设计自动化EDA在

4、电子电路中的应用研究,西南证券整理 EDA技术(Electronic Design Automation):即电子设计自动化,是由计算机辅助测试发展而来、以CAD(计算机辅助设计)为建构基础逐渐完善的一种计算机辅助设计系统。设计者以大型可编辑逻辑器件为主要设计载体,在EDA软件平台上,通过硬件描述语言VHDL进行设计,融合了各种计算机技术、电子技术、信息技术和智能技术,实现了电子产品自动化设计。EDA的起源:在上世纪六七十年代,当时的集成电路大多都是用手工来完成的,因为实际的晶体管数量并不多,电路线也很简单,并不容易出现错误。但是当线路的数量达到上百或者上千以后,电路图复杂程度加深,这时的人工

5、效率将变得很低,错误率增加也导致成本急剧增加,因而更加高效低成本的EDA技术开始在集成电路的设计中被大规模的应用。EDA的定位:从定位上来说,EDA的核心功能就是为集成电路的设计、生产提供自动化辅助设计能力。实现电子设计自动化,需要融合图形学、计算数学、微电子学、拓扑逻辑学、材料学、人工智能等众多前沿技术,有极高的行业门槛。发展至今,EDA已是集成电路产业链最上游、最高端和最核心的产业。EDA的地位:与庞大的芯片设计、制造、应用行业相比,EDA市场规模并不大。2020年全球EDA市场规模仅为115亿美元,但却支撑着4404 亿美元规模的半导体行业,数十万亿美元规模的数字经济。EDA有着“芯片之

6、母”称号,同时作为电子产品设计的“基石”和半导体行业的“七寸”,其所涉及的芯片IC设计、布线、验证和仿真等方面,都直接决定着产业竞争力。4 1.1.2 EDA技术的特点 软件硬化,硬件软化 软件硬化软件硬化 硬件软化硬件软化 即将一些软件实现的功能集成到硬件电路中去即将一些软件实现的功能集成到硬件电路中去。直接实现的硬件电路的运行速率要大于软件控制的运行速率,因而软件硬件化能够大大提升系统的运行速率,这就为设计高效算法的人提供了另外一种提升系统效率的途径。EDA的软件硬化则是说用软件方式设计的系统到硬件系统的转换是由EDA开发软件自动完成。指指将硬件的功能由软件去实现,屏蔽掉一些复杂的硬件设计

7、过程。将硬件的功能由软件去实现,屏蔽掉一些复杂的硬件设计过程。在计算机演进的过程中,硬件软件化是非常有意义的,因为硬件设计复杂,想提高硬件的性能,如果在硬件层之上,就会容易许多。因此,对一些设计较为简单的硬件电路,可以通过效率较高的软件对其进行控制,尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。集设计、仿真和测试于一体 现代的EDA软件平台集设计、仿真、测试于一体,配备了系统设计自动化的全部工具:配置了多种能兼用和混合使用的逻辑描述输入工具;配置了高性能的逻辑综合、优化和仿真测试工具。电子设计师可以从概念、算法、协议等开始设计电子系统,可以将电子产品从电路设计、性能分

8、析到设计出ic版图或pcb版图的整个过程在计算机上自动处理完成。较以往的设计方法,大大提高了设计效率,降低了设计者的工作负担。一体化平台硬件实物图 资料来源:电子设计自动化EDA在电子电路中的应用研究,西南证券整理 5 自顶向下(top-down)的设计方法 传统的电路设计方法基本上都自下向上的,即首先确定可用的,然后根据这些器件进行逻辑设计,完成各模块后进行连接,最后形成系统。而后经调试、测量看整个系统是否达到规定的性能指标。整个设计过程将花费大量的时间与经费,且很多外在因素与设计者自身经验的制约,已经不适宜于现代数字系统设计。基于EDA技术的设计方法正好相反,它主要采用并行工程和“自顶向下

9、”的设计方法,开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等。首先从系统设计入手,在顶层进行功能划分和结构设计,能在系统级采用仿真手段验证设计的正确性。然后再逐级设计低层的结构,用硬件描述语言对高层次的系统行为进行电路描述,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用。1.1.2 EDA技术的特点 Verilog Top-Down设计 资料来源:电子设计自动化EDA在电子电路中的应用研究,西南证券整理 6 1.1.2 EDA技术的特点 资料来源:CSDN,西南证券整理 在系统可现场编程,在线升级 编程是把

10、系统设计的程序化数据按一定格式装入一个或多个可编程逻辑器件的编程存储单元,定义内部模块的逻辑功能以及它们的相互连接关系。早期的可编程逻辑器件的编程需要将芯片从印制板上拆下,然后把它插在专用的编程器上进行。目前EDA技术广泛采用的在系统可编程技术则能够克服此缺点。可编程逻辑器件具有为设计者提供系统内可编程,以及将器件插在系统或电路板内仍然可以对其进行编程和再编程的能力。目前CPLD/FPGA芯片作为可编程器件,都可为设计者提供这两种能力,使得系统内硬件的功能可以像软件一样被编程配置,这就为设计者进行电子系统设计和开发提供了可实现的最新手段,EDA技术为样机设计、电路板调试、系统制造和系统升级带来

11、了革命性的变化。CPLD是Complex PLD的简称,一种较PLD(可编程逻辑器件)更为复杂的逻辑元件。用户可以根据各自需要而自行构造逻辑功能的数字集成电路。FPGA即现场可编程门阵列,它是在CPLD等可编程器件的基础上进一步发展的产物。作为一种半定制电路,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。具有更高的配置逻辑灵活性和更快的执行指令速度。在编程试验系统 DICE-EH208型多功能EDA箱 7 1.1.2 EDA技术的特点 设计工作标准化,模块可移置共享 近几年来,芯片复杂程度越高,对EDA的依赖也越高。设计语言、EDA的底层技术及其接口的标准化,能很好地对涉及

12、结果进行交换、共享及重用。EDA设计工作的重要设计语言硬件描述语言HDL已经逐步标准化。VHDL在1987年被IEEE采纳为硬件描述语言标准,同时也是军事标准(454)和ANSI(美国国家标准学会)标准,Verilog HDL在1995年成为IEEE标准。资料来源:CSDN,西南证券整理 VHDLVHDL Verilog HDLVerilog HDL 来源来源 美国组织开发美国组织开发 从一个普通的民间公司的私有财产转化而来普通的民间公司的私有财产转化而来 难易程度难易程度 设计不是很直观,相对要难一点设计不是很直观,相对要难一点,需要有 Ada 编程基础,一般认为至少要半年以上的专业培训才能

13、掌握 推推出已出已有有 20 20 年,拥有广泛的设计群体,成熟的资源也比年,拥有广泛的设计群体,成熟的资源也比 VHDL VHDL 丰丰富;富;容易掌握,只要有 C 语言的编程基础,通过比较短的时 间经过一些实际的操作,可以在 2、3个月内掌握这种设计技术 优势领域优势领域 在系统级抽象方面,VHDL比 Verilog HDL表现更好 作为一种结构化和过程性的语言,其语法结构非常适合于算法级和算法级和RTLRTL级的模型设计级的模型设计。作为一种结构化的语言又非常适合于门级和开关级的模型设计 数据格式的一致性通过标准保证。对EDA的底层技术、EDA软件之间的接口等采用标准数据格式,如edif

14、网表文件是一种用于设计数据交换和交流的工业标准文件格式。不同设计风格和应用的要求导致各具特色的EDA工具都能被集成在易于管理的统一环境之下,支持任务、项目、设计工程师之间的信息传输和工程数据共享。并行设计工作和自顶向下设计方法也是构建电子系统集成设计环境或集成设计平台的基本规范。目前,主要的EDA系统都建立了遵循CFI统一技术标准的框架结构。以上五类技术特点使得EDA技术代表了当今数字系统设计技术的最新发展方向。8 资料来源:EDA技术与应用,西南证券整理 设计准备:指设计者在进行设计之前,依据任务要求,确定系统所要完成的功能及复杂程度,器件资源的利用、成本等所要做的准备工作,如进行方案论证、

15、系统设计和器件选择等。1.1.3 EDA技术的原理 EDA技术依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC 芯片中,实现既定的电子电路设计功能。EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大地提高了设计效率,减少设计周期,节省设计成本。EDA设计流程 9 设计输入:将设计的系统或电路以开发软件要求的某种形式表示出来,并送入计算机的过程。设计输入形式包括:1.原理图

16、或图形输入方式 这是一种最直接的设计输入方式,它使用软件系统提供的元器件库及各种符号和连线画出原理图,形成原理图输入文件。2.硬件描述语言输入方式 硬件描述语言有普通硬件描述语言和行为描述语言,它们用文本方式描述设计和输入。普通硬件描述语言有AHDL、CUFL等,它们支持逻辑方程、真值表、状态机等逻辑表达方式。行为描述语言是目前常用的高层硬件描述语言,有VHDL和Verilog HDL等,它们具有很强的逻辑描述和仿真功能,可实现与工艺无关的编程与设计,可以使设计者在系统设计、逻辑验证阶段便确立方案的可行性,而且输入效率高,在不同的设计输入库之间转换也非常方便。运用VHDL、Verilog HD

17、L硬件描述语言进行设计已是当前的趋势。3.波形输入方式 波形输入主要用于建立和编辑波形设计文件以及输入仿真向量和功能测试向量。波形设计输入适合用于时序逻辑和有重复性的逻辑函数,系统软件可以根据用户定义的输入/输出波形自动生成逻辑关系。波形编辑功能允许设计者对波形进行拷贝、剪切、粘贴、重复与伸展。1.1.3 EDA技术的原理 AHDL 左正弦加权/右低通滤波输出波形 资料来源:EDA技术与应用,西南证券整理 10 设计处理:这是EDA设计中的核心环节。在设计处理阶段,编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动地进行适配,最后产生编程用的编程文件。1.设计编译和

18、检查 设计输入完成之后,立即进行编译。在编译过程中首先进行语法检验,如检查原理图的信号线有无漏接,信号有无双重来源,文本输入文件中关键字有无错误等各种语法错误,并及时标出错误的位置信息报告,供设计者修改。然后进行设计规则检验,检查总的设计有无超出器件资源或规定的限制并将编译报告列出,指明违反规则和潜在不可靠电路的情况以供设计者纠正。2.逻辑优化和综合 逻辑优化是化简所有的逻辑方程或用户自建的宏,使设计所占用的资源最少。综合的目的是将多个模块化设计文件合并为一个网表文件,并使层次设计平面化(即展平)。3.适配和分割 在适配和分割过程,确定优化以后的逻辑能否与下载目标器件CPLD或FPGA中的宏单

19、元和I/O单元适配,然后将设计分割为多个便于适配的逻辑小块形式映射到器件相应的宏单元中。宏单元(或逻辑单元)是PLD/FPGA的最基本单元,不同产品对这种基本单元的叫法不同,如LE,MC,CLB,Slices等。各个厂家的定义可能不一样。在此适配和分割过程中,如果整个设计不能装入一片器件时,可以将整个设计自动分割成多块并装入一系列的多片器件中去。器件编程:编程是指将设计处理中产生的编程数据文件通过软件放到具体的可编程逻辑器件中去。对CPLD器件来说是将JED文件下载(Down Load)到CPLD器件中去,对FPGA来说是将位流数据BG文件配置到FPGA中去。器件编程需要满足一定的条件,如编程

20、电压、编程时序和编程算法等。普通的CPLD器件和一次性编程的FPGA需要专用的编程器完成器件的编程工作;基于SRAM的FPGA可以由EPROM或其他存储体进行配置;在系统的可编程器件(ISP-PLD)则不需要专门的编程器,只要一根与计算机互连的下载编程电缆就可以了。CPLD/FPGA的基本结构 1.1.3 EDA技术的原理 资料来源:EDA技术与应用,西南证券整理 11 1.1.3 EDA技术的原理 设计校验:设计校验过程包括功能仿真和时序仿真,这两项工作是在设计处理过程中同时进行的。仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确。功能仿真是在设计输入后进行:时序仿真是在逻

21、辑综合后或布局布线后进行。功能仿真是指在一个设计中,在设计实现前对所创建的逻辑进行的验证其功能是否正确的过程。布局布线以前的仿真都称作功能仿真,它包括综合前仿真(Pre-Synthesis Simulation)和综合后仿真(Post-Synthesis Simulation)。综合前仿真主要针对基于原理框图的设计;综合后仿真既适合原理图设计,也适合基于HDL 语言的设计。时序仿真使用布局布线后器件给出的模块和连线的延时信息,在最坏的情况下对电路的行为作出实际地估价。时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的:惟一的差别是为时序仿真加载到仿真器的设计包括基于

22、实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延;而功能仿真没有。器件测试和设计验证:器件在编程完毕之后,可以用编译时产生的文件对器件进行检验、加密等工作,或采用边界扫描测试技术进行功能测试,测试成功后才完成其设计。设计验证可以在EDA硬件开发平台上进行。EDA硬件开发平台的核心部件是一片可编程逻辑器件FPGA或CPLD,再附加一些输入输出设备,如按键、数码显示器、指示灯、喇叭等,还提供时序电路需要的脉冲源。将设计电路编程下载到FPGA或CPLD中后,根据EDA硬件开发平台的操作模式要求,进行相应的输入操作,然后检查输出结果,验证设计电路。资料来源:

23、EDA技术与应用,西南证券整理 仿真电路原理图 12 12 资料来源:EDA技术设计的基本特点以及技术优势分析,西南证券整理 技术优势 各产业年产值量 现场改进优势:EDA技术在数字电路的设计过程中,可以对目标进行现场的编程,从而及时进行在线的系统升级,硬件电路设计过程中可以采用软件的设计形式,通过数据数字显示可以对硬件设施进行一定的系统化。全面优化优势:通过EDA 技术的应用,可以引导设计输入电路进行布局、优化等内容,最终形成优化的项目设计。换言之,通过数字电路设计可以全面完成电路设计的测试以及优化的操作。经济使用优势:通过EDA 技术的应用,在项目设计的过程中可以实现科学化、合理化项目设计

24、原理,从而降低产品设计的成本,不仅在根本意义上缩短了产品设计的时间限制,而且可以实现集成化的制度程序。1.1.4 EDA的优势 EDA融合了计算数学、微电子学、图形学、拓扑逻辑学、材料学以及人工智能等多项技术,在发展过程中,不仅需要丰富的、高质量的人才资源驱动研发,还需要与先进工艺相结合拓展产品线,多方因素使EDA产业具有较高的进入壁垒。根据WSTS发布的2020年数据,拥有115亿美元的EDA产业支撑着全球4404亿美元的半导体市场,产业杠杆效应、经济效应显著。掌握了EDA则掌握了芯片领域的主导权。产业优势 产业层级产业层级 对应年产值数量级对应年产值数量级 数字经济 数十万亿美元 电子信息

25、 数万亿美元 集成电路 超过4,000亿美元 EDA 超过100亿美元 1.2 EDA发展历程及行业趋势-全球发展历程 13 资料来源:半导体产业纵横公众号,西南证券整理 发展阶段发展阶段 计算机辅助设计计算机辅助设计CADCAD 计算机辅助工程计算机辅助工程CAECAE 电子系统设计电子系统设计自动化自动化EDAEDA 现代现代EDAEDA技术技术 发展时间发展时间 20世纪70年代 20世纪80年代 20世纪90年代 21世纪 主要功能主要功能 设计和绘制PCB板图、进行布线以及规则阵列 测试与验证、仿真、硬件描述语言出现、硬件加速、系统级设计 实现从系统行为级描述到系统综合、系统仿真与系

26、统测试,真正实现了设计的自动化 能自动完成用软件方式描述的电子系统到硬件系统的逻辑编译、化简、分割、综合及优化,布局布线、逻辑仿真,直至完成目标芯片的适配编译、逻辑映射和编程下载等工作 解决问题解决问题 由手绘完成图纸的设计转为在电脑上完成,减轻了设计人员的工作量,设计效率极大提高 对设计上存在的错误做出提前的预判,辅助CAD缩短研发周期,降低研发成本 用来设计超大规模集成电路,包括后期设计验证等功能 自动化程度继续提升 全球EDA行业发展历程 作为芯片设计的基石,EDA的发展大致经历了四个阶段,目前已在计算机、通信、航天航空、军工等领域发挥着重要作用。20世纪70年代以前,工程师采用手工绘图

27、,但项目的多样性、多变性使得手工绘图周期长、效率低,从而阻碍了建设的发展。到了70年代中期,可编程逻辑技术出现,交互图形编辑、规则检查等功能提升了芯片设计的自动化程度,开发人员开始尝试实现整个设计工程的自动化,这是EDA的雏形时期。20世纪80年代,随着超大规模集成电路的发展,电子系统变得更为复杂,语言编程开始应用于芯片设计,此时出现了硬件描述语言VHDL和Verilog HDL,为EDA的商业化奠定了基础。EDA技术进入发展和完善阶段。CAE可以对设计上存在的错误做出提前的预判,辅助CAD缩短研发周期,降低研发成本。20世纪90年代,硬件语言趋于标准化以及芯片设计技术在不断丰富,EDA设计工

28、具快速普及和发展,这一阶段也是EDA发展的黄金期。设计手段包括全定制设计、半定制设计、ASIC设计、标准单元库、门阵列、可编程逻辑阵列等。EDA设计工具可以设计超大规模集成电路,包括后期设计验证等功能。21世纪后,EDA技术快速发展,软件效率显著提升,仿真验证和设计两层面支持标准硬件语言的 EDA 软件工具功能更加强大,EDA工具的发展加速了集成电路产业的技术革新。1.2 EDA发展历程及行业趋势-国内发展历程 14 资料来源:行行查研究中心,西南证券整理 备受掣肘(19501986 年):巴统禁运技术封锁 打破封锁(19861994 年):“熊猫系统”面世 国产遇冷(19942008 年):

29、国外巨头进入中国 中国EDA发展的五个阶段 20 世纪 70 建国初期,巴黎统筹委员会限制成员国向社会主义国家出口战略物资和高端技术,列入禁运清单的有军事武器装备、尖端技术产品和稀有物资等三大类上万种产品。彼时对中国实施的禁运管制以及西方全面封锁技术,使得国外 EDA软件无法进入国内市场,国内的 ICCAD 工具研发,停留在众多一级系统和二级系统。无奈之下在八十年代中后期,国内开始投入 EDA 领域的研发。为摆脱对海外技术的依赖,中国动员了全国17个单位,200多名专家聚集北京集成电路设计中心,共同开展国产EDA的研发工作。经过长达四年的努力,1993 年中国第一款具有自主知识产权的 EDA

30、工具终于面世,并被命名为“熊猫系统”。目前国内规模最大、产品线最完整、综合技术实力最强的EDA企业华大九天初始团队部分成员也参与其中。由于巴统禁运对我国 EDA 工具的封锁解除,国内大量购入成熟 EDA 软件,Cadence、Synopsys等EDA企业进入中国,国产 EDA 遇冷,缺少政策和市场支持的国内 EDA 工具研发和应用陷入低谷,这种情形也导致了国内集成电路产业对国外 EDA 工具的重度依赖。高速发展(2018年至今):华大九天成功上市 再度萌芽(20082018年):国内龙头华大九天成立 EDA被列入国家“核高基”重大科技专项,重新获得了多项政策的鼓励和扶持;华大九天成立,承担ED

31、A核高基任务;国产EDA开始走向舞台。国产EDA进入发展提速期,国产 EDA 龙头企业华大九天受大基金领头投资并成功上市;华为受美国制裁EDA受限,开启了EDA投资之旅,国内对其领域重视程度提升;国发8号文”将设计工具作为突破重点等。目前国外EDA行业已经在成熟期,但国内EDA行业起步晚,国内外差距较大。1.2 EDA发展历程及行业趋势-行业趋势 15 资料来源:电子信息产业网,赛迪智库集成电路研究所,西南证券整理 在当前集成电路产业快速发展的大背景下,EDA 行业主要呈现如下趋势:技术演进驱动 EDA 技术应用延伸拓展“摩尔定律”驱动芯片集成度和复杂度持续提升,为EDA工具发展提出更高要求。

32、EDA 工具向系统级或行为级的软硬件协同设计方法、跨层级芯片协同验证方法、面向设计制造与封测相融合的设计方法和芯片敏捷设计方法四个方向发展。同时芯粒(Chiplet)技术成为重要的发展方向,通过将多种芯片封装集成,形成一个系统芯片,实现新形式的 IP 复用,这一过程对EDA工具提出新需求,促进EDA应用拓展。设计方法学创新辅助平抑芯片设计成本 EDA工具技术的进步和应用的推广推动芯片设计效率提高、成本降低。同时,可重复使用的平台模块、异构并行处理器的应用、基于先进封装集成技术的芯粒技术等成为驱动设计效率提升的重要方式,与EDA技术的进步相辅相成。EDA工具的持续发展进步从整体上提升了芯片设计的

33、效率,从而平抑了芯片设计的总体成本。AI+EDA:人工智能技术与EDA工具结合 人工智能与EDA工具相结合,推动自动IP生成技术的进步,从EDA设计和验证平台两个方面促进了EDA软件的自动化和智能化发展。人工智能在设计和验证两个环节为EDA带来的帮助,设计环节中确定芯片Block布局是最复杂的阶段,核心目标是使PPA最小化,而AI可以用机器学习的方式快速给出最优的布局方案。同时,AI有助于加速验证过程,缩短芯片设计周期,提升效率。云计算+EDA:云计算在EDA领域中应用 云计算改变传统计算方式,在EDA领域的应用日趋深入。云技术在 EDA 领域的应用可以有效避免芯片设计企业因流程管理、计算资源

34、不足带来的研发风险,保障企业研发生产效率;同时可以有效降低企业在服务器配置和维护方面的费用,让企业根据实际需求更加灵活地使用计算资源;此外,还可以使芯片设计工作摆脱物理环境制约,同时有助于EDA技术在教育领域的推广和应用,构建教育生态。16 EDA工具可分类为:IC 设计软件、电子电路设计与仿真工具、PCB 设计软件、PLD 设计工具等。根据所设计的集成电路类型不同,EDA 主要分为数字电路设计的 EDA 工具和模拟电路设计的 EDA 工具。另外,平板显示电路的设计环节也需要相应的平板显示电路设计EDA工具支撑。以EDA行业龙头公司华大九天为例,其主要产品包括模拟电路设计全流程 EDA 工具系

35、统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工具等 EDA 工具软件,并围绕相关领域提供技术开发服务。公司产品和服务主要应用于集成电路设计及制造领域。资料来源:华大九天招股书,广立微招股书,概伦电子招股书,西南证券整理 产品分类产品分类 基础测试功能基础测试功能 模拟电路设计全流程 EDA工具系统 对模拟电路进行结构设计、版图设计、功能和物理验证的全过程 数字电路设计EDA工具 电路功能设计、逻辑综合、物理实现以及电路和版图分析验证的过程 平板显示电路设计全流程EDA工具系统 在已有模拟电路设计工具的基础上,结合平板显示电路设计的特点,开发平板显

36、示电路设计全流程EDA工具系统 晶圆制造EDA工具 针对晶圆制造厂的工艺开发和IP设计需求,提供相关的晶圆制造EDA工具 1.3 EDA行业的主要产品 17 资料来源:华大九天招股书,西南证券整理 1.3 EDA行业的主要产品 模拟电路设计全流程EDA工具系统 该EDA工具系统包括原理图编辑工具、版图编辑工具、电路仿真工具、物理验证工具、寄生参数提取工具和可靠性分析工具等,为用户提供了从电路到版图、从设计到验证的一站式完整解决方案。该模拟电路设计全流程EDA工具系统具体如下图所示:原理图和版图编辑工具Aether 寄生参数提取工具RCExplorer 电路仿真工具ALPS和异构仿真系统ALPS

37、-GT 物理验证工具Argus 18 资料来源:华大九天招股书,西南证券整理 1.3 EDA行业的主要产品 数字电路设计EDA工具 数字电路设计是指电路功能设计、逻辑综合、物理实现以及电路和版图分析验证的过程。这一过程通常分为数字前端和数字后端两部分,主要包括单元库准备、逻辑仿真、逻辑综合、布局布线、寄生参数提取、时序分析与优化、物理验证和版图集成与分析等环节。上述过程的各个环节相应的 主要EDA 工具如下图所示:单元库特征化提取工具Liberal和单元库/IP质量验证工具Qualib Skipper XTime XTop 19 资料来源:华大九天招股书,西南证券整理 1.3 EDA行业的主要

38、产品 平板显示电路设计全流程EDA工具系统 该EDA工具系统包含平板显示电路设计器件模型提取工具、平板显示电路设计原理图编辑工具、平板显示电路设计版图编辑工具、平板显示电路设计电路仿真工具、平板显示电路设计物理验证工具、平板显示电路设计寄生参数提取工具和平板显示电路设计可靠性分析工具等。该EDA工具系统具体如下图所示:平板显示电路设计原理图和版图编辑工具AetherFPD 平板显示电路设计可靠性分析工具ArtemisFPD 平板显示电路设计物理验证工具ArgusFPD 平板显示电路设计寄生参数提取工具RCExplorerFPD 20 资料来源:华大九天招股书,西南证券整理 1.3 EDA行业的

39、主要产品 晶圆制造EDA工具 针对晶圆制造厂的工艺开发和IP设计需求,提供相关的晶圆制造EDA工具,包括器件模型提取工具、存储器编译器开发工具、单元库特征化提取工具、单元库/IP质量验证工具、版图集成与分析工具以及模拟电路设计全流程EDA工具等:存储器编译器开发工具SMCB 器件模型提取工具XModel 根据行业内多家公司的招股书及年报,主要采购需求除原材料以外还有委托开发、基础设计、房租物业、软硬件设备、测试服务、技术服务等。其中,原材料主要包括主控板、滤波单元及低噪音放大器、反混淆滤波器、数据采集卡、PXI 系统源测量单元等;委托开发主要包括发行人从事EDA工具软件开发及对外技术服务中的辅

40、助性模块对外委托开发;软硬件设备主要包括发行人采购日常经营过程中所需要的软件和硬件设备以及相关配件等。以龙头企业华大九天为例,其采购模式分为单一来源采购、询价采购和招标三种。单一来源采购针对某些领域仅有唯一企业能够提供相关服务的;对于存在多家企业能够提供相关服务的,公司采用询价或招标两种采购模式。各公司采购内容市场供应充足,供应商具备可选性且保持相对稳定,能够满足公司的各项特定要求,采购渠道通畅。不存在向单个供应商的采购比例超过总额的50%或严重依赖于少数供应商的情形。资料来源:华大九天招股书,广立微招股书,概伦电子招股书,西南证券整理 采购模式 1.4 EDA行业主要公司的商业模式-采购模式

41、 广立微采购流程 21 行业内龙头企业华大九天采用完全直销的销售模式,其余企业如概伦电子、广立微则通常以“直销为主、经销为辅”的方式开展销售业务。各公司主要销售EDA软件和技术开发服务,并通过授权模式进行盈利,即公司向客户销售指定版本的软件,并收取合同约定期间的授权费。根据授权期间的不同,又可分为永久期限授权和固定期限授权。客户可以根据其自身业务需求及与公司的协商,选择不同期限授权的EDA软件或合同约定在固定期限内提供一次或多次授权。定价上,通常根据客户购买的数量和授权期限的长短、客户的规模及其所在地区的竞争程度,在报价的基础上来定价,故同一类型软件产品和服务针对不同客户的销售价格不具有可比性

42、。相关产品和服务主要应用于集成电路设计及制造领域,下游客户主要包括集成电路设计企业、晶圆制造企业、平板厂商等。销售模式 1.4 EDA行业主要公司的商业模式-销售模式 广立微销售流程 资料来源:华大九天招股书,广立微招股书,概伦电子招股书,西南证券整理 22 23 1.4 EDA行业主要公司的商业模式-销售模式 完全直销 直销为主、经销为辅 华大九天目前通过完全直销的方式进行销售。公司设立营销中心,负责市场推广及营销工作。一方面通过产品质量和服务质量等方面的优势吸引客户,另一方面通过行业会议、网络、展览等渠道对产品进行市场推广。华大九天前五大客户分别为上海华虹(集团)有限公司、惠科股份有限公司

43、、中国电子集团、上海韦尔半导体股份有限公司,主要业务为EDA软件销售,其中最大客户上海华虹(集团)有限公司2020年占其营业收入比例为32.48%。概伦电子和广立微均以“直销为主、经销为辅”的方式开展销售业务。对于客户资源多、市场需求大、业务基础较好的地区,主要采取直销模式;其他地区基于投入产出比的考虑,主要采取经销模式。经销模式下,主要由经销商搜集和获取客户对于公司EDA软件、测试硬件系统产品以及整体解决方案的具体要求,公司与经销商签订销售合同,将软件工具授权、硬件产品销售给经销商或者提供成品率提升服务,经销商与公司进行价款结算。其中概伦电子2018年和2019年经销比例占比较高,分别为79

44、.71%、64.24%,主要系与关联方 ProPlus经销收入占比较高。随着公司不断完善自身销售体系,通过 ProPlus 所实现的经销收入占比持续下降,直销比例逐年上升,2020年其直销比例为 73.82%,主要通过直销方式开展业务。概伦电子前五大客户分别为关联方ProPlus、中芯国际、两家境外客户、华力微,分别占当期营业收入的18.46%、10.04%、9.31%、7.74%、5.61%。广立微2019、2020、2021年直销收入分别为5093.35万元、11493.21万元、18985.23万元,分别占当期营业收入的 77.01%、92.77%和 95.82%。直销比例占比较高,为公

45、司主要销售模式。前五大客户分别为上海华虹(集团)有限公司、睿力集成电路有限公司、合肥晶合集成电路股份有限公司等,其中最大客户上海华虹占比44.82%,前五大客户总销售收入占营业收入比例为84.27%。资料来源:华大九天招股书,广立微招股书,概伦电子招股书,西南证券整理 24 1.5 EDA仪器应用场景 在方面,几乎所有理工科类的高校都开设了EDA课程。其目的是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具和PLD开发工具。NanoSpice是概伦电子推出的新一代大容量、

46、高精度、高性能并行SPICE电路仿真器,特别对高精度模拟电路和大规模后仿电路的电路仿真进行优化,同时满足高精度、大容量和高性能的高端电路仿真等多种专业性、高水平需求。BSIMProPlus是一款技术先进的半导体器件SPICE模型建模平台,适用于对各种半导体器件从低频到高频的SPICE模型建模,软件包含半导体器件电学特性测试功能、器件模型参数自动提取和优化功能等,基于内嵌的并行NanoSPICE仿真器,它不仅支持绝大部分半导体行业标准SPICE器件模型,还全面支持Verilog模型和子电路模型。教学领域 科研领域 生产制造领域 资料来源:华大九天招股书,广立微招股书,概伦电子招股书,西南证券整理

47、 25 4 5 目 录 2 4 1 EDA集成电路设计的基石 2 市场规模快速增长,海外厂商份额领先 3 海外龙头多年积淀,高筑行业壁垒 4 国内厂商迎来机遇,华大九天引领发展 4 4 5 EDA厂商梳理 2.1 EDA市场规模及竞争格局 26 2017-2022年全球集成电路市场规模及预测 2015-2028年全球EDA市场规模及预测 全球集成电路产业发展迎来“超级周期”,应用市场的景气加速了EDA行业市场发展。随着工业设备、通信网络、消费电子等终端应用市场的不断发展,全球集成电路市场的需求量稳步提升。2021年,全球集成电路市场规模达到3838亿美元,预计2022年将达4080亿美元,20

48、21-2022年CAGR达7.3%。根据ESD Alliance数据显示,2020年全球EDA市场规模达到115亿美元,同比增长11.63%,预计2022年将达到133.83亿元。20162020年的CAGR为8.00%。根据Verified Market Research数据,预计2028年全球EDA市场规模有望达到215.6亿美元,2020-2028年CAGR为8.59%。数据来源:Frost&Sullivan,ESD Alliance,Verified Market Research,西南证券整理 78.1 85.2 93.6 97.0 102.7 114.7 215.6 9.2%9.8

49、%3.7%5.8%11.6%3%8%13%18%20.070.0120.0170.0220.0200028E全球市场规模(亿美元)增速 3433 3933 3334 3546 3838 4080 14.6%-15.2%6.4%8.2%6.3%-20%-15%-10%-5%0%5%10%15%20%05001,0001,5002,0002,5003,0003,5004,0004,5002002020212022E全球集成电路市场规模(亿美元)增速 27 数据来源:Frost&Sullivan,中国半导体协会,西南证券整理 2016

50、-2022年中国集成电路市场规模及预测 2017-2022年EDA行业中国市场规模及预测 我国集成电路产业规模在过去几年一直保持着高速增长,远远高于全球增长水平,我国持续成为全球EDA行业市场增速最快的地区。2021年中国集成电路市场规模达10227.7亿元,同比增长15.9%。预计至2022年,市场规模将达到11839.7亿元,2017-2022年CAGR高达18.2%。近年来,随着国家和市场对国产EDA行业的重视程度不断增加,上下游协同显著增强,国内EDA企业在产业政策、产业环境、投资支持、行业需求、人才回流等各方面利好影响下逐渐兴起。2020年中国EDA市场规模约93.1亿元,同比增长2

51、7.7%,占全球市场份额的9.4%,预计2022年将达115.6亿元,2018-2022年5年CAGR为12.5%,远高于全球EDA市场增速。4335.5 5411.3 6531.4 7676.4 8821.9 10227.7 11839.7 24.8%20.7%17.5%14.9%15.9%15.8%0%5%10%15%20%25%30%0200040006000800040002001920202021E2022E中国市场规模(亿元)增速 64.1 67.3 72.9 93.1 103.4 115.6 5.0%8.3%27.7%11.1%11.8

52、%0%5%10%15%20%25%30%02040608000212022E中国市场规模(亿元)增速 2.1 EDA市场规模及竞争格局 2.1 EDA市场规模及竞争格局 28 2020年EDA行业全球区域竞争格局 从区域分布看,美国、亚太地区占比近八成,亚太地区增速引领,规模有望超美国成全球第一。美国凭借绝对领先的集成电路产业综合竞争力,一直以来在全球EDA工具市场中占有关键份额。2020年北美地区EDA工具销售额48.8亿美元,市场占比为42.6%。2020年,亚太地区EDA工具市场销售额从2019年的34.3亿美元快速提升至40.2亿美元,市场占

53、比从33.4%提升至35.1%。中国大陆集成电路产业近年来实现连续高速发展,成为推动EDA工具在亚太地区销售额占比不断提升的重要推动力。数据来源:ESD Alliance,赛迪智库,西南证券整理 42.6%14.0%35.1%8.5%美国 欧洲、中东、非洲 亚太地区 日本 本土企业发展方面,近三年自主EDA工具的销售额持续跃升。伴随国产EDA工具市场认可度提升以及海外供应链压力增加,国产EDA工具经历了客户不去用到尝试用再到主动用的市场蜕变。我国行业的龙头和骨干企业进入了高速发展期,带动整体产值快速提升。在部分大客户的应用带动下,2020年本土EDA工具企业总销售收入为9.1亿元人民币,同比增

54、长53.1%。其中,在本土市场销售收入达7.6亿元人民币,同比增幅63.5%,占国内市场93.1亿元份额的8.2%。2018-2020年我国国产EDA工具销售额情况 0.7 1.4 1.5 2.8 4.6 7.6 02468020境外销售额(亿元)境内销售额(亿元)29 2020年EDA行业全球竞争格局 2020年EDA行业中国竞争格局 目前海外厂商占据全球EDA行业主要市场。新思科技、楷登电子、西门子EDA三家国际巨头垄断市场,行业集中度高。根据赛迪顾问,2020年新思科技、楷登电子、西门子EDA这三家厂商因其完整的产品线、庞大的客户群体占据了69.5%的全球市场。其中

55、,新思科技以32.1%市占率在全球市场中排名第一;楷登电子、西门子EDA分别以23.4%及14.0%的市占率在全球市场中排名第二和第三。目前我国EDA行业市场集中度较高,三大巨头市占率超70%。Cadence在我国EDA市场的市场占有率最高,2020年为32%;Synopsys和Siemens EDA排名第二和第三,市占率分别为29.1%和16.6%。我国本土EDA厂商华大九天在中国EDA市场市占率排名第四,市占率为5.9%,超过国外另外两大厂商Ansys和Keysight(Eesof)。32.0%29.1%16.6%8.3%5.9%4.8%3.3%CadenceSynopsysSiemens

56、 EDA其他 华大九天 AnsysKeysight2.1 EDA市场规模及竞争格局 数据来源:ESD Alliance,赛迪顾问,西南证券整理 32.1%23.4%14.0%30.5%SynopsysCadenceSiemens EDA其他 30 数据来源:赛迪顾问,ESD Alliance,前瞻产业研究院,西南证券整理 2015-2020年EDA行业全球市场集中度(CR3)2.2 EDA行业市场集中度及竞争梯队 2020年中国EDA行业市场集中度(CR3-CR6)整体来看,全球EDA市场集中度高,由Synopsys、Cadence、Siemens EDA三大巨头垄断,20152020年全球E

57、DA市场CR3市场占有率均在60%以上,根据ESD Alliance数据,2020年全球CR3达到69.5%。类似全球市场集中度,我国EDA行业市场集中度高,行业CR6已经高达91.7%。并且,我国EDA市场整体由Synopsys、Cadence、Siemens EDA这三大巨头垄断,2020年这三大巨头市占率达到77.7%。77.7%83.6%88.4%91.7%70%80%90%100%CR3CR4CR5CR666.5%63.6%63.6%69.5%0%20%40%60%80%100%20020 按照厂商业务分布情况、营收能力、市场占有率等,EDA行业市场竞争梯队可分

58、为三级,分别为国际EDA巨头、领先EDA巨头及先进EDA巨头。其中第一二梯队以美国公司为主,中国公司主要分布在第二三梯队中。第一梯队由 Synopsys、Cadence、Siemens EDA三家国际知名企业组成。该类企业业务遍布全球,科研实力雄厚,拥有全流程 EDA 产品,在部分领域处于绝对领先地位。第二梯队为以美国 ANSYS 公司、Keysight、华大九天等为代表的企业,该类企业拥有特定领域全流程产品,在局部领域技术较为领先。第三梯队为以国微集团、广立微、概伦电子等为代表的企业,该类企业产品布局主要以点工具为主,主要专注于细分领域,经营规模普遍较小,在工具的完整性方面有所欠缺,但在中国

59、EDA市场有一定影响力。EDA竞争梯队 31 资料来源:前瞻产业研究院,赛迪顾问,西南证券整理 2.2 EDA行业市场集中度及竞争梯队 2.3 EDA行业产业链 32 EDA行业衔接集成电路设计、制造和封测,对集成电路行业生产效率、产品技术水平有重要影响。EDA行业的上游主要包括硬件设备、操作系统、开发工具及其他辅助性软件等供应商。EDA行业中游为EDA工具企业。EDA行业的下游主要由芯片设计、晶圆制造和封测等企业组成。下游客 资料来源:前瞻研究院,头豹研究所,西南证券整理 EDA行业产业链 33 上游硬件设备市场竞争充分,议价能力弱 EDA企业的上游由通用软件开发商及硬件设备商组成。软件开发

60、市场形成了以美国、欧洲、硬度、日本、中国等国为主的国际软件开发产业竞争格局,而就核心操作系统而言,Windows系列及苹果MacOS系统封占据市场份额的绝大部分,2020年二者市占率分别达80.5%及7.5%。总体来看,中国基础软件开发市场被国际巨头垄断,尚未形成利好基础软件开发商发展的生态环境,但中国硬件设备市场竞争充分,本土产品成熟,整体价格呈现下滑趋势,对中游EDA厂商的议价能力较弱。芯片设计领域发展滞后,EDA工具国产化亟待解决 EDA行业下游主要由芯片设计、晶圆制造和封测等企业组成。中国在晶圆制造和封测领域都取得突破,但在芯片设计领域的发展明显滞后,主要由于EDA软件受制于人,EDA

61、工具的国产化势在必行。数据来源:itt Bank,IDC,前瞻研究院,头豹研究所,西南证券整理 排名排名 公司公司 总部总部 1Q221Q22营业收入(亿美元)营业收入(亿美元)1Q221Q22市场份额市场份额 1 台积电 中国台湾中国台湾 175.29 53.6%2 三星 韩国 53.28 16.3%3 联电 中国台湾中国台湾 22.64 6.9%4 格芯 美国 19.4 5.9%5 中芯国际 上海上海 18.42 5.6%6 华虹集团 上海上海 10.44 3.2%7 力积电 中国台湾中国台湾 6.65 2.0%8 世界先进 中国台湾中国台湾 4.82 1.5%9 合肥晶合集成 合肥合肥

62、4.43 1.4%10 高塔半导体 以色列 4.21 1.3%注:1.三星计入System LSI及晶圆代工事业部之营收 2.力积电仅计入晶圆代工营收 3.华虹集团包含华虹宏力和上海华力 80.5%10.8%7.5%1.2%WindowsChromeOSMacOSOther2020年全球台式机操作系统市场份额 2.3 EDA行业产业链 2022Q1全球晶圆厂排名 34 4 5 目 录 2 4 1 EDA集成电路设计的基石 2 市场规模快速增长,海外厂商份额领先 3 海外龙头多年积淀,高筑行业壁垒 4 国内厂商迎来机遇,华大九天引领发展 4 4 5 EDA厂商梳理 35 海外集成电路发展历程及E

63、DA的产生 3.1 历史悠久,经验积淀-集成电路产业发展,海外EDA龙头集中成立 集成电路于20世纪50年代诞生于美国,20世纪50年代至70年代,集成电路行业以垂直整合制造工厂IDM为主,IDM掌握包括产品设计、研发、加工制造的全产业链技术。20世纪60年代至80年代,随着产业规模的扩大和相关技术的进步,集成电路的设备与材料业逐渐从IDM中分离出来,呈现专业化分工趋势。20世纪70年代至今,芯片的封测、设计、制造环节逐步从产业链中剥离,出现独立厂商;20世纪80年代随着研发设计类工业软件的产生,计算机辅助设计CAD和计算机辅助工程CAE的出现使集成电路设计者可以试用软件进行设计,设计环节独立

64、 于 生产工艺。这一时期,出现了多家集成电路设计厂商,全球三大EDA厂商新思科技、楷登电子和西门子EDA的前身明导公司均在这一时期成立,相比中国厂商多于2000年以后成立,海外龙头厂商先发优势明显。资料来源:华经产业研究院等,西南证券整理 发展历程发展历程 产业链集于一身产业链集于一身(20世纪50年代至20世纪70年代)20世纪50年代,集成电路诞生于美国集成电路诞生于美国。作为新兴技术,其研发、制造等被少数企业掌握,而生产所用的设备、材料、制造工艺技术等又有高度专业性,最初能发展集成电路产业的企业只有掌握产品设计、研发、制造全套技术,拥有集成电路材料制备和设备制造的IDMIDM垂直整合制造

65、工厂垂直整合制造工厂 IDM参与到集成电路产业是为自身制造的电子整机产品如电子设备通信设备、家用电器等提供服务,以此增加其整机产品的附加值,提升产品的功能和质量,降低生产成本,争夺市场 集成电路与设备、材料业的分离集成电路与设备、材料业的分离(20世纪60年代至20世纪80年代)20世纪60年代中后期,随着产业规模的扩大和工业技术的提升,专业化分工的优势逐步显现,于是集成电路制造设备业、材料业逐渐从这些于是集成电路制造设备业、材料业逐渐从这些I IDMDM分离分离,作为辅助支撑行业发展起来 设计、制造、封装、测试业的分离设计、制造、封装、测试业的分离(20世纪70年代至今)封测业兴起封测业兴起

66、:20世纪70年代起,行业竞争加剧和封装测试工艺日渐成熟,IDM为专注于核心优势,降低经营风险,逐步将封装测试环节剥离,专业的封装测试公司开始出现,封测行业率先从产业中独立出来 设计业兴起设计业兴起:2020世纪世纪8080年代年代,随着计算机辅助工程(CE)、辅助设计(CD)和工艺模拟、仿真等设计方法的发展,使集成电路设计可独立于生产工艺进行,同时,顺应产品多元化趋势,大量专业集成电路设计公司应运而生,EDAEDA产业产业兴起兴起 制造业兴起制造业兴起:20世纪80年代,随着制造工艺水平的提高,集成电路的产线建设、工艺研发及人才和资本需求不断增加,多数IDM不愿或无力承担巨额投入所带来的风险

67、,专注于集成电路芯片制造的企业兴起 封测产业转移封测产业转移:封装测试业在发展初期,技术和资金门槛较低,需要较多人力和土地资源,马来西亚、菲律宾、中国大陆及台湾地区的成本优势突出,且当地政府大力支持和鼓励集成电路产业发展,因此全球集成电路产业的封装测试环节大量向这些地区转移,亚太地区迅速发展成为全球集成电路封测基地;这些国家或地区多数以封装测试业为基础,逐步发展设计业和制造业,最终形成三业并举的格局 36 3.1 历史悠久,经验积淀-政策支持行业发展,美国厂商占据主要市场份额 美国集成电路产业发展较早,具有完善的半导体产业链和先进的技术基础,集成电路发展需求及更新换代需求促进EDA产业产生和发

68、展,同时,美国国家科学基金(NSF)和半导体研究共同体(SRC)和国防部等政府机构自20世纪80年代以来每年投入千万美元级资金支持EDA发展,美国国防部的资助为EDA厂商的创新发展提供有利的环境。全球领先的EDA三巨头均为美国公司。资料来源:Wind,华大九天招股说明书等,西南证券整理 Synopsys (新思科技)新思科技成立于1986年,是全球领先的EDA 解决方案提供商及芯片接口IP供应商,同时也是信息安全和软件质量的领导企业,为全球电子市场提供技术先进的IC设计与验证平台,致力于复杂的片上系统(SoC)的开发。Cadance (楷登电子)楷登电子成立于1988年,由SDASystems

69、和ECAD两家公司兼并而成,公司是世界领先的 EDA与 IP 供应商,其智能设计解决方案覆盖 IC 设计全流程,包括系统级设计、功能验证、综合及布局布线、模拟信号及射频设计、物理验证、PCB 设计和硬件仿真建模等。Siemens EDA(西门子EDA)西门子EDA前身Mentor Graphics成立于1981年,2016年被西门子收购,主要为客户提供完整的软件/硬件设计解决方案,具体包括 SoC、IC、FPGA、PCB、SI 设计工具和服务,帮助客户以短时间和低成本在市场上推出功能强大的电子产品。SynopsysSynopsys CadanceCadance Mentor Graphics

70、Mentor Graphics 华大九天华大九天 成立时间 1986 1988 1981 1986(前身熊猫EDA)总部地点 美国加州山景城 美国加州圣何塞 美国俄州威尔森维尔 中国北京 EDA产品布局 覆盖芯片设计所有环节 覆盖芯片设计所有环节 覆盖芯片设计所有环节 模拟芯片和平板显示电路全流程 销售网络布局 全球布局,美国为第一大销售市场;中国和韩国是亚洲重点布局市场 全球布局,美国为第一大销售市场;中国和日本是亚洲重点布局市场 全球布局 主要布局中国市场 2021收入 42.0亿美元 29.9亿美元-5.8亿元 全球EDA市场占有率 31%23%14%-海外与国内领先厂商基本情况对比 3

71、7 海外EDA三巨头并购历程 3.2 产品丰富,布局全面-多次并购丰富产品线,形成现有竞争格局 并购整合拓宽产品线。海外龙头厂商成立以来均进行过多次并购整合,一方面可以通过并购整合拓宽产品线,进行产品演进,实现公司规模迅速扩张,另一方面可以将潜在竞争对手扼杀在萌芽状态,并笼络优秀团队,为公司所用。在多次并购中,各厂商实现了竞争力的提升,为现有的EDA竞争格局奠定基础。2002年,新思科技通过收购刚结束与楷登电子多年诉讼的Avanti,补齐了数字集成电路EDA全流程的技术短板,成为历史上第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商,经过发展和整合,新思科技的市场份额逐步扩张,超

72、过楷登,改变了传统上“新思占前端,楷登占后端”的格局。资料来源:前瞻产业研究院,公众号芯八哥等,西南证券整理 CadenceCadence SynopsysSynopsys Siemens EDASiemens EDA 1989年收购Tangent Systems,推出时序驱动时序驱动ASIC布局布线布局布线工具 1990年收购了Zycad公司的VHDL仿真业务仿真业务,并推出测试综合产品推出测试综合产品 1983年收购EDA厂商-Sybergy Dataworks,丰富丰富EDA技术技术 1990年收购Gateway Design Automation,将将Verilog语言引入公语言引入公

73、开应用领域开应用领域,促进了原理图设计到硬件描述语言的转变 1995年收购了参与下一代门阵列技术(基于单元阵列)开发的Silicon Architects 1988年以500万美元兼并了Tektronix公司的CAE业务,拓展拓展仿真技术仿真技术 1994年收购Comdisco Systems和Redwood Design Automation,普及了业内首批系统级设计技术普及了业内首批系统级设计技术 1997年收购了深亚微米分析的Epic Design Technology和开发高级仿真产品的Viewlogic Systems 1990年收购Silicon Complier Systems公

74、司 1998年收购Quickturn,成功立足仿真硬件和软件市场立足仿真硬件和软件市场,后来逐渐演变成今天的Palladium 2002年收购了与年收购了与Cadence结束专利诉讼的结束专利诉讼的Avant,成为第一家可,成为第一家可提供顶级前后端完整提供顶级前后端完整IC设计方案的领先设计方案的领先EDA工具供应商工具供应商 2008年收购Flomerics PLC公司,拓展拓展PLC技术技术 1999年收购OrCAD,收获收获PCB板设计软件及服务的最大客户群板设计软件及服务的最大客户群 2008年收购FPGA实现和调试领导者Synplicity,进入进入FPGA市场市场 2009年收购

75、LogicVision,拓展拓展硅材料制造测试硅材料制造测试 2001-2002年收购CadMOS串扰噪声分析技术串扰噪声分析技术、Silicon Perspective硅片虚拟原型技术硅片虚拟原型技术、Plato的NanoRoute技术技术和Simplex的信号与电源完整性技术信号与电源完整性技术 2010年收购ORA公司,成立-OSG部门以加强半导体制造方面光加强半导体制造方面光学技术的最新突破,开发下一代半导体芯片学技术的最新突破,开发下一代半导体芯片 2010年以5000万美元收购Valor Computerized Systems公司 2010年收购Denali Software,获

76、得其著名的存储存储IP和和VIP 2012年收购当时全球第四大EDA工具商magma 2016年西门子收购年西门子收购Mentor,作为数字工厂的一部分,作为数字工厂的一部分 2013年收购Evatronic、Cosmic Circuits和Tensillica,分别扩展其扩展其在高速结构、模拟在高速结构、模拟/混合信号和混合信号和DSP领域的领域的IP产品产品 2012年收购思源科技SpringSoft,以完善纠错和全定制技术组合完善纠错和全定制技术组合 2019年收购Z-Circuit Automation,拓展拓展高端单元库表征和高端单元库表征和验证工具验证工具 2014年收购形式验证领

77、域的市场领袖Japer Design Automation和高阶综合工具供应商Forte Design Systems 2018年收购Kilopass,扩大扩大DesignWare IP组组合 2020年收购Avatar集成系统公司,拓展拓展路线软件路线软件 2020年收购Intergrand Software公司,加速加速5G RF通信创新通信创新 2020年收购半导体行业开发芯片设计的初创企业Terrain EDA 2021年收购PRO DESIGN的FPGA产品系列,拓展拓展FPGA 2021年收购计算流体力学NUMECA,扩展系统分析能力扩展系统分析能力;收购NI旗下子公司AWR,加速

78、加速5G RF发展发展 2021年宣布将收购BISTel的半导体和平板显示解决方案半导体和平板显示解决方案 2021年收购Nextflow Software以利用先进的无网格技术加利用先进的无网格技术加速仿真速仿真 38 海外与国内领先厂商产品布局对比 3.2 产品丰富,布局全面-覆盖芯片设计全部环节,主攻领域加速发展 经过多年发展,海外三家龙头厂商均已形成模拟芯片和数字芯片全流程设计产品解决方案,覆盖芯片设计全部环节,国内龙头华大九天EDA产品未能覆盖芯片设计全部环节。在丰富产品布局的同时,各家厂商选择主攻的细分领域加速发展,其中Synopsys主攻数字芯片设计、静态时序验证确认以及SIP提

79、供,拳头产品有辑综合工具DC时序分析工具 PT、模拟前端 XA、数字前端 VCS、IP库、DesignWare IP等。Cadence主攻模拟、数模混合平台、数字后端、DDR4 IP,拳头产品有仿真验证NC-Verilog、模拟仿真版、Virtuoso。Siemens EDA主攻后端验证、可测试性设计、光学临近修正,拳头产品有Signoff工具Calibre、DFT compiler、RTL仿真VSC。资料来源:前瞻网等,西南证券整理 产品布局产品布局 SynopsysSynopsys CadenceCadence Siemens EDASiemens EDA 华大九天华大九天 模拟 数字前端

80、 数字后端 封装/电路板 FPGA 系统 工艺开发 其它 主攻领域 数字芯片、静态时序验证确认以及SIP提供 主攻模拟、数模混合平台、数字后端、DDR4 IP 主攻后端验证、可测试性设计、光学临近修正 主攻模拟电路和平板显示电路设计和验证 拳头产品 逻辑综合工具DC时序分析工具、模拟前端XA、数字前端VCS、IP库、DesignWare IP 仿真验证NC-Verilog、模拟仿真版、Virtuoso Signoff工具、Calibre、DFT complier、RTL仿真VSC 原理图和版图编辑工具 Aether、电路仿真工具 ALPS 和异构仿真系统 ALPS-GT、物理验证工具 Argu

81、s、寄生参数提取工具 RCExplorer 39 2015-2021年海外三巨头研发费用(亿美元)3.3 研发高投入,工艺领先-高水平研发投入,高筑行业壁垒 2015-2021年海外三巨头研发投入占比 EDA产品的开发壁垒较高,涉及计算机、数学、物理等多基础学科的综合应用,而这些基础学科的技术突破则需要长时间的研发与专利积累。EDA行业头部企业的长期高比例的研发投入是其保持长久竞争力的关键因素之一,海外龙头厂商楷登电子、新思科技和西门子EDA均以高水平的研发投入构筑壁垒。新思科技、楷登电子和西门子EDA研发投入占营业收入比重始终在30%以上,保持较高水平,2021年新思科技、楷登电子研发费用分

82、别为15.1亿美元和11.3亿美元,折合人民币约为99.5亿元和74.6亿元,远高于国内龙头厂商华大九天3亿元的研发投入,国内厂商中,概伦电子和广立微2021年研发投入分别为0.79和0.66亿元人民币,与国外龙头差距明显。数据来源:Wind,西南证券整理 35%35%33%35%34%35%36%37%40%41%41%40%39%38%31%32%32%0%5%10%15%20%25%30%35%40%45%50%200021SynopsysCadenceSiemens EDA7.8 8.6 9.1 10.9 11.4 12.8 15.1 6.4

83、 7.4 8.0 8.9 9.4 10.3 11.3 3.8 3.8 4.1 0246852001920202021SynopsysCadenceSiemens EDA40 海外与国内领先厂商制程对比 3.3 研发高投入,工艺领先-技术工艺领先,产品制程先进 海外厂商技术工艺领先,EDA 工 具 相比国内厂商制程更为先进。芯片制程描述的是芯片晶体管栅极宽度的大小,纳米数字越小,晶体管密度越大,芯片性能就越高。海外新思科技、楷登电子及西门子EDA技术领先,三家厂商点工具支持最先进制程已达到2nm,全流程工具最先进制程分别为3nm、20nm和22nm,而

84、国内龙头厂商华大九天目前仅在模拟设计及平板显示电路设计环节拥有全流程工具,支持最先进制程为28nm,点工具最先进制程为5nm,海外厂商领先优势明显。资料来源:新思科技官网,EETOP,中国财经新闻网等,西南证券整理 全流程工具最先进制程全流程工具最先进制程 点工具最先进制程点工具最先进制程 Synopsys 3nm3nm 2nm2nm Cadence 20nm 2nm2nm Siemens EDA 22nm 2nm2nm 华大九天 28nm 5nm 41 3.3 研发高投入,工艺领先-发展IP技术,提高芯片设计效率 2020年新思科技和楷登电子IP收入占比 在产品布局持续完善、技术不断进步的同

85、时,国外龙头厂商进行EDA产业链延伸,新思科技、楷登电子和西门子EDA分别向IP和系统集成、IP和IC设计、IC设计和IC封装进行延伸。IP又称知识产权模块,是芯片中具有独立功能的电路模块设计,通过将部分设计流程固化,减少设计师的工作量,提高芯片设计效率。国外厂商在IP市场中占据主导,全球IP市场中,Synopsys 和 Cadence IP 产品分别以31.2%和7.2%的份额位列第一和第三名。国内厂商在EDA产品技术方面发力较多,在IP方面技术积累较少,同时在发展IP过程中受客户规模限制,IP布局未能充分体现价值,落后于国外龙头厂商。IP技术高速发展,成为海外龙头厂商收入的重要组成部分。2

86、020年IP业务收入在新思科技和楷登电子营业总收入中占比分别为33%和14%,已成为收入的重要组成部分。数据来源:Wind,公众号皇化电子元器件IC供应商等,西南证券整理 31.2%25.6%7.2%2.6%2.4%31.2%SynopsysARMCadenceAlphawaveCeva其他 33%14%0%5%10%15%20%25%30%35%SynopsysCadenceIP收入占营业收入比重(%)2021年全球IP市场竞争格局 42 海外及国内领先厂商与芯片厂商合作情况 3.4 推进合作,生态反哺-绑定头部晶圆厂,持续打磨产品 EDA产品需在下游芯片厂商的试用中持续打磨产品,在与芯片厂

87、商合作中,EDA工具随先进工艺演进不断迭代,以保证EDA工具工艺库信息的完善性。国外EDA领先厂商积极推进下游客户合作,与多个领域内核心厂商建立稳定的合作关系,合作历史悠久,关系紧密。新思科技以“新思+”的合作模式与上海华力、台积电、中芯国际等领先晶圆厂商合作,并与国内市场的芯华章、芯耀辉、芯和半导体、世芯电子、全芯智造、芯行纪、软安等十多家国产EDA、IP企业达成合作伙伴关系。Cadence通过长期与台积电、FDXcelerator、GlobalFoundries、ARM等全球领先的集成电路制造和设计企业保持长期合作关系。西门子EDA则与台积电、ARM、XILINX、AMD等领先厂商建立合作

88、关系。国内EDA厂商华大九天近年来加速与下游客户合作,但合作伙伴数量与紧密程度与海外龙头仍有较大差距。资料来源:前瞻产业研究院等,西南证券整理 EDA企业企业 合作的代表性集成电路和设计企业合作的代表性集成电路和设计企业 Synopsys 台积电、英特尔、三星、是德科技、Socionext、上海华力、中芯国际等 Cadence 台积电、FDXcelerator、GlobalFoundries、ARM等 Siemens EDA 台积电、ARM、XILINX、AMD、profpga等 华大九天 华为海思、中兴微电子、紫光展锐等 43 海外及国内领先厂商与高校合作情况 3.4 推进合作,生态反哺-教

89、育市场合作,培养用户习惯 EDA产品的开发涉及计算机、数学、物理等多基础学科的综合应用,对人才综合能力提出极高要求,国外厂商与众多高校进行教育合作,为高校相关专业学生提供EDA软件,培养用户使用习惯及产品使用能力,在产品推广的同时进行人才储备。海外厂商通过多种方式构建教育生态,已进入中国教育市场。新思科技通过学术与研究联盟、在中国举办研究生电子设计竞赛和EDA专业课程,与多所知名高校建立合作关系,进行用户习惯的培养,储备优秀EDA人才,楷登电子通过智能系统设计技术的培训和认证、技术奖学金计划及大学课程建立教育生态,与波士顿大学、西安电子科技大学等高校合作;西门子EDA通过Genesis计划和战

90、略学生计划与德国慕尼黑,德国慕尼黑工业大学,米兰理工大学长期合作,进行人才培养。国内EDA厂商近年来加速教育生态布局,但未能进入海外教育市场。资料来源:芯智讯,爱集微,前瞻网等,西南证券整理 项目项目 高校高校 Synopsys 新思科技学术与研究联盟(SARA)中国研究生电子设计竞赛 EDA专业课程 美国明尼苏达州立大学,加州大学圣地亚哥分校,北京工业大学,西安电子科技大学,加州大学圣地亚哥分校 Cadence 智能系统设计技术的培训和认证 技术奖学金计划 Cadence大学课程 波士顿大学,西安电子科技大学 Siemens EDA Genesis 计划 战略学生计划 德国慕尼黑大学,德国慕

91、尼黑工业大学,米兰理工大学 华大九天 华大九天联合实验室集成电路训练营 集成电路设计联合实验室 全国大学生集成电路创新创业大赛 东南大学,广东工业大学,华南理工大学,沈阳工业大学,大连理工大学,哈尔滨工业大学,北京邮电大学,吉林大学,复旦大学,厦门大学,北京理工大学,上海交通大学,电子科技大学 44 海外EDA软件兼容情况 3.4 推进合作,生态反哺-厂商间全流程适配形成生态链 海外厂商系统内容嵌入性高,全流程适配形成生态链。海外龙头厂商EDA软件之间可实现内容内嵌,不管单独使用一家的EDA,还是用多家的EDA组成全流程,均可实现EDA全流程功能。以模拟全流程举例,楷登电子的电路图和版图工具、

92、仿真工具与西门子EDA的物理验证工具和Ansys的寄生参数工具可以相互开放端口,兼容使用。全流程生态链构筑行业壁垒。国外EDA工具之间的相互耦合,相互接入接口的关系,形成EDA全流程生态链,构筑了行业壁垒。国内厂商点工具无法与海外厂商EDA工具实现适配,很难替代中间环节,只能通过全平台产品进行竞争。资料来源:芯智讯,爱集微,前瞻网等,西南证券整理 电路图和版图工具、仿真工具电路图和版图工具、仿真工具 物理验证工具物理验证工具 寄生参数工具寄生参数工具 45 4 5 目 录 2 4 1 EDA集成电路设计的基石 2 市场规模快速增长,海外厂商份额领先 3 海外龙头多年积淀,高筑行业壁垒 4 国内

93、厂商迎来机遇,华大九天引领发展 4 4 5 EDA厂商梳理 4.1.1 政策助力国内EDA行业发展-政策支持 46 全方位政策支持:随着我国集成电路下游需求增加,EDA行业受重视程度提高,国家发布各种政策对EDA行业发展保驾护航。国家从财税、投融资、研究开发和进出口方面对集成电路企业发展提供全方位支持,为EDA行业发展创造有利环境。政策政策 主要内容主要内容 政策政策 主要内容主要内容 财税政策财税政策 国家鼓励的重点集成电路设计企业和软件企业,自获利年度起,第一年至第五年免征企业所得税,接续年度减按接续年度减按10%10%的税率征收企业所的税率征收企业所得税得税 研究开发政策研究开发政策 聚

94、焦高端芯片、集成电路装备和工艺技术、集成电路关键材料、集成电路设计工具、基础软件、工业软件、应用软件的关键核心技术研发,不断探索构建社会主义市场经济条件下关键核心技术攻关新型举国体制。软件企业、集成电路设计企业等自获利年度起,第一年至第二年免征企业所得税,第三年至第五年按照第三年至第五年按照2525%的法定税率减半征收企业所的法定税率减半征收企业所得得税 集成电路设计企业、软件企业在本政策实施以前年度的企业所得税,按照国发按照国发(20112011)4 4号文件明确的企业所得税号文件明确的企业所得税“两免三减半两免三减半”优惠政策执优惠政策执行行 鼓励软件企业执行软件质量鼓励软件企业执行软件质

95、量、信息安全信息安全、开发管理等国家标准开发管理等国家标准。加强集成电路标准化组织建设,完善标准体系,加强标准验证,提升研发能力。提高集成电路和软件质量,增强行业竞争力。在一定时期内,国家鼓励的重点集成电路设计企业和软件企业,除相关不予免税的进口商品目录所列商品外,免征进口关税免征进口关税 投融资政策投融资政策 鼓和支持集成电路企业、软件企业加强资源整合,对企业按照市场化对企业按照市场化原则进行的重组并购原则进行的重组并购 进出口政策进出口政策 在一定时期内,国家鼓励的重点集成电路设计企业和软件企业要临时进口的自用设备(包括开发测试设备),软硬件环境样机及部件、元器件,符合规定的可办理暂时进境

96、货物海关手续符合规定的可办理暂时进境货物海关手续,其进口税收按其进口税收按照现行法规执行照现行法规执行。鼓励社会资本按照市场化原则,多渠道筹资,设立投资基金,提高基金市场化水平 对软件企业与国外资信等级较高的企业签订的软件出口合同,金融机构可按照独立审贷和风险可控的原则提供融资和保险支持。大力支持符合条件的集成电路企业和软件企业在境内外上市融资,加加快境内上市审核流程快境内上市审核流程 推动集成电路、软件和信息技术服务出口,大力发展国际服务外包业务,支持企业建立境外营销网络。商务部会同相关部门与重点国商务部会同相关部门与重点国家和地区建立长效合作机制家和地区建立长效合作机制,采取综合措施为企业

97、拓展新兴市场创采取综合措施为企业拓展新兴市场创造条件造条件。鼓励符合条件的集成电路企业和软件企业发行企业债券、公司债券等,拓宽企业融资渠道拓宽企业融资渠道、支持企业通过中长期债券等方式筹集资金支持企业通过中长期债券等方式筹集资金 2021年国家层面有关EDA软件行业政策 资料来源:前瞻产业研究院,企查查,西南证券整理 4.1.1 政策助力国内EDA行业发展-一级市场投融资活跃 47 资料来源:前瞻产业研究院,企查查,西南证券整理 一级市场融资活跃:在政策大力支持下,EDA行业一级市场融资活动频繁。国家基金、公私募基金、产业投资基金和互联网公司都纷纷投入融资活动中,各公司利用募集资金提高自身实力

98、,推动我国EDA产业快速发展。国内19家EDA公司一级市场融资情况 公司公司 一级市场融资动态一级市场融资动态 投资机构投资机构 公司公司 一级市场融资动态一级市场融资动态 投资机构投资机构 芯愿景芯愿景 科创板申报终止、战略融资 丰年资本 东方晶源东方晶源 战略融资 赛领资本、深创投 广立微广立微 天使轮、创业板已申报 中清正合科技创投、财通证券 鸿芯微纳鸿芯微纳 战略融资 鸿泰基金 国微思尔芯国微思尔芯 战略融资 浦东科创、中青芯鑫、君联资本等 行芯科技行芯科技 A轮 百度、绿河投资 华大九天华大九天 创业板过会、战略融资 中国电子、国家集成电路产业投资基金等 芯和半导体芯和半导体 B轮

99、中芯聚源投资、上海赛领等 概伦电子概伦电子 创业板过会、A轮 英特尔投资、兴橙资本 芯华章芯华章 Pre-B轮 红杉基金、高领资本等 九同方九同方 A+轮 哈勃投资、深创投 芯行纪芯行纪 A轮 SK中国、红杉基金等 博达微科技博达微科技 并购 概伦电子 伴芯科技伴芯科技 战略融资、天使轮 红杉基金、联想创投 若贝若贝 天使轮 清控科创控股 阿卡思微电子阿卡思微电子 Pre-A轮 哈勃投资、张江高科等 云道智造云道智造 战略融资 红杉基金、腾讯投资、哈勃投资等 立芯软件立芯软件 战略融资 哈勃投资、深创投等 鸿之微鸿之微 B轮 上海科创投等 东方晶源东方晶源 战略融资 赛领资本、深创投 48 数

100、据来源:IC Insights,西南证券整理 各行各业“缺芯”:根据WSTS数据显示,中国是全球最大的半导体消费国,2018年中国芯片消费规模占全球芯片消费市场规模的33%,但在如此高的芯片需求下,我们的芯片自给率仅仅达到3%,中国芯片市场存在巨大的供应缺口,对进口芯片需求量大。根据IC Insights的数据显示,2021年中国IC市场规模1865亿美元,而中国大陆IC产量仅为312亿美元,自给率约为16.7%,比2011年的12.7%高出4个百分点。根据预测,2026年的自给率将达到21.2%。虽然半导体行业自给率在持续提升,但仍不能满足我国的芯片需求。4.1.2 集成电路下游需求持续增加

101、,带动EDA需求 33%22%9%0%5%10%15%20%25%30%35%中国 美洲 欧洲 全球前三大芯片消费占比 全球三大芯片消费占比情况 我国芯片自给率 12.7%16.7%21.2%0%5%10%15%20%25%201120212026自给率 E 49 资料来源:智研咨询,华大九天官网,概伦电子官网,西南证券整理 带动EDA行业发展国产化率提高 国产替代的核心需求是芯片,而实现芯片国产替代的核心需求是EDA,随着集成电路设计的复杂程度日益提高,芯片的验证无疑是决定芯片流片是否成功的最关键环节之一,而EDA工具正是验证环节中不可或缺的重要帮手。EDA行业的技术进展直接影响到芯片产业的

102、发展速度,是国产芯片崛起极为重要的一环,因此我国对芯片需求量高也就反向激励了EDA的快速发展与创新。在高需求量的刺激下和政策扶持下,国内EDA产业国产化进程明显提速,国产化率从2018年的6.24%提升至2020年的11.48%。带动EDA行业发展国内厂商不断涌现 芯片需求带动国内EDA产业快速发展,EDA厂商数量增加、实力不断增强。随着芯片需求增加,国内EDA厂商数量不断增加,2020年增至28家,其中华大九天、概伦电子、广立微三家公司已先后上市,EDA行业的繁荣为供给侧提供有力保障;EDA产业技术取得明显突破,在模拟电路设计、平板显示电路设计、晶圆制造以及特定细分领域的点工具方面逐渐具备国

103、产替代实力。EDA产业国产化率 国内EDA行业上市公司 4.1.2 集成电路下游需求持续增加,带动EDA需求 6.24%10%11.48%0%2%4%6%8%10%12%14%201820192020国产化率 4.1.3 国产替代成为必然趋势 50 资料来源:华大九天招股说明书,概伦电子招股书说明书等,西南证券整理 我国EDA产业仍处于供给率不足的状态,国外政策制裁、实体清单限制等因素则导致进口EDA有价无市,因此企业不得不加快研发步伐,以实现自主可控弥补市场需求,使国产替代成为必然趋势。我国特种行业对信息安全要求较高,同时特种行业对芯片性能及可靠性要求更高,因此总装备部采购对军用设备提出国产

104、化率达到70%的要求,对军工行业制定进一步国产化的目标。国内厂商需要加强技术投入,突破高可靠性、高稳定性的军工电子元器件壁垒,提高军用芯片国产化程度。现如今,虽然国内较早推行自主可控与国产化要求,军工芯片等基本可以自给自足,但少数高端元器件和材料仍未摆脱部分受限制、依赖进口的局面,国产替代任重道远。军用和民用芯片对比 我国高端核心芯片国内市场占有率 军用芯片军用芯片 民用芯片民用芯片 产品特点产品特点 结构复杂、专用定制化 标准化 生产特点生产特点 定制化、小批量 标准化、大批量 侧重点侧重点 看重靠性、适应恶劣工况、耐用、有特殊性能要求 看重处理速度、多功能等特性 研发周期研发周期 较长(三

105、年以上)较短(两年以下)竞争性竞争性 客户集中、竞争不激烈 客户分散、竞争激励 系统系统 设备设备 核心集成电路核心集成电路 国产芯片占有率国产芯片占有率 计算机系统 服务器 MPU 0%个人电脑 MPU 0%工业应用 MCU 2%通信电子系统 可编程逻辑设备 FPGA/EPLD 0%数字信号处理设备 DSP 0%通信装备 移动通信终端 ApplicationProcesser 18%CommunicationProcesser 22%EmbeddedMPU 0%EmbeddedDSP 0%核心网络设备 NSP 15%内存设备 半导体存储器 DREAM 0%NANDFLASH 0%NORFLA

106、SH 5%51 4.2.1 国内厂商先后成立,华大九天引领发展 华大九天是国内最早从事EDA产品研发和销售的企业之一,前身为“熊猫EDA团队”,曾推出我国第一款EDA产品,技术积淀深厚,先发优势明显。20世纪70至80年代,由于巴黎统筹委员会对中国实施禁运管制,国内无法采购国外EDA产品,在这一背景下,国内EDA产业自上世纪80年代中后期开始自主发展,华大九天的前身“熊猫EDA团队”于1986年成立,经过多年攻坚,于1992年推出“熊猫IC CAD系统”,填补了我国EDA领域的空白。1994 年“巴统”正式解散,美国解除了对中国EDA 软件的封锁,国内开始采购海外成熟EDA软件,在竞争和学习中

107、,芯愿景、思尔芯、广立微等国内EDA产商相继成立发展。2008年起,EDA被列入国家中长期科学和技术发展规划纲要(2006-2020)所确定的十六个重大专项之一,迎来高速发展期,2009年中国华大集成电路设计集团有限公司与国投高科技投资有限公司共同投资,将华大EDA 部门独立出来,成立华大九天,概伦电子也在同时期成立。资料来源:华经产业研究院,华大九天招股说明书等、西南证券整理 国内EDA厂商成立时间 52 国内厂商模拟电路设计流程覆盖情况 4.2.2 不断丰富产品布局,多领域形成优势 国内厂商不断丰富产品布局,致力于开发EDA全流程工具,以实现产品数据兼容性、精度一致性和使用效率的提高,促进

108、国内集成电路产业的技术进步,推动国产替代进程。在模拟电路设计领域,华大九天作为国内EDA领先厂商,经过多年积淀,于2011年推出模拟电路全流程EDA工具,成为继新思科技、楷登电子和西门子EDA之后的全球第四家模拟电路设计全流程EDA产商,经过多年验证和打磨,仿真技术全球领先。概伦电子于2022年8月发布模拟电路全流程EDA工具,成为国内第二家模拟电路设计全流程EDA产商。资料来源:华大九天招股说明书,概伦电子招股说明书,广立微招股说明书等,西南证券整理 模拟电路设计流程模拟电路设计流程 华大九天华大九天 概伦电子概伦电子 广立微广立微 电路图绘制 版图仿真工具 验证 生成版图 寄生参数提取 物

109、理仿真验证 版图后仿真 53 4.2.2 不断丰富产品布局,多领域形成优势 在模拟电路以外,华大九天在多个领域加速发展,形成优势。在平板显示电路设计领域,华大九天也已实现设计全流程工具的覆盖,版图及掩膜版数据处理软件性能全球第一,芯片制造服务覆盖国内70%晶圆制造企业。在数字电路设计EDA领域,华大九天不断提升全流程工具的覆盖率,技术水平全球领先,并已覆盖国内近90%IC企业。在晶圆制造EDA领域,多项产品为全球首创,并利用募投资金在国内新建产线,提高技术水平、扩张产能。资料来源:中国集成电路设计大会,华大九天招股说明书,西南证券整理 华大九天各领域发展情况 支持7nm先进工艺 定义世界级世界

110、级IC公司设计标准 覆盖国内近近90%IC90%IC企业企业 数字电路设计数字电路设计EDAEDA工具工具 平板显示电路设计全流程平板显示电路设计全流程EDAEDA工具系统工具系统 晶圆制造晶圆制造EDAEDA工具工具 版图及掩膜版数据处理软件性能全球第一全球第一 芯片制造服务,覆盖国内70%70%晶圆制造企业晶圆制造企业 多项技术全球首创全球首创 国内新建产线 全球领先全球领先 数字电路设计数字电路设计EDAEDA工具工具 国内领先国内领先 数字电路设计数字电路设计EDAEDA工具工具 全球唯一全球唯一 54 国内EDA厂商IP布局 4.2.3 产业链延伸,布局IP市场 在IP层面,新思科技

111、、ARM、楷登电子等国外厂商占据市场主导,国内EDA厂商在IP方面布局较少,近年来持续发力。华大九天在IP领域加速布局,已布局高速接口,(超)低功耗数模混合类的IP产品,多款IP已经成功量产。通过与中国大陆唯一的自主嵌入式CPU IP Core公司中天微合作,加入中天微CPU IP“组织”,在高性能和超低功耗嵌入式CPU设计领域达成深度合作,帮助华大九天IP不断验证、快速“成长”,为本土高端嵌入式CPU的开发和EDA系统解决方案的提升提供更多元化的应用支撑。广立微推出可寻址系列电路IP,可实现测试芯片 10 倍以上的面积利用率提升,芯愿景推出三大系列IP平台,21个IP产品。资料来源:华大九天

112、官网,华大九天招股说明书,广立微招股说明书等,西南证券整理 公司公司 IP布局布局 华大九天 已布局高速接口,(超)低功耗数模混合类的IP产品,多款IP已经成功量产。与中国大陆唯一的自主嵌入式CPU IP Core公司中天微合作,加入中天微CPU IP“组织”广立微 推出可寻址系列电路IP,公司自研的EDA工具和电路IP所设计的先进测试芯片与晶圆级电性测试设备结合使用,则会进一步提升测试效率。可寻址等电路 IP 技术实现测试芯片 10 倍以上的面积利用率提升 芯愿景 公司IP平台包括嵌入式安全防护类IP、工业物联网与控制类IP、通用基础类IP三大系列、21个IP产品 55 2019-2021国

113、内EDA企业研发费用支出(百万元)4.2.4 研发投入高水平,技术工艺持续打磨-研发投入 EDA行业技术壁垒高,头部厂商需要大量研发投入保持竞争优势。国内领先厂商持续加大研发投入力度,进行核心技术攻关,追赶国外龙头。华大九天研发投入保持领先。2019-2021年,华大九天研发投入由1.3亿元增长至3亿元,年均复合增长率达到163.1%,概伦电子和广立微研发投入保持较高增速。三家公司研发投入占营业收入比重保持高水平,2021年,华大九天研发投入占营业收入比重超过50%,概伦电子、广立微研发投入占比分别为41%和33%。数据来源:Wind,西南证券整理 2019-2021国内EDA企业研发费用占营

114、收比重(%)130 180 300 35.7 49.6 79.0 26.8 40.5 65.5 0500300350201920202021华大九天 概伦电子 广立微 52.5 44.2 52.6 86.7 38.9 41.0 40.5 32.7 33.1 0204060802021华大九天 概伦电子 广立微 56 国内厂商专利情况 4.2.4 研发投入高水平,技术工艺持续打磨-专利情况 国内EDA厂商加速核心技术攻关,研发投入取得显著成效,领先厂商已取得多项EDA相关专利。从专利数量来看,华大九天在国内厂商中保持领先地位,截至2021年12月,已拥

115、有发明专利150项,软件著作权67项,概伦电子已在全球范围内拥有发明专利24项、软件著作权68项;截止2022年8月,广立微拥有已授权国内外专利68项,其中发明专利32项;截至2022年3月,芯愿景拥有已授权国内外专利21项,其中发明专利16项。资料来源:华大九天招股说明书,概伦电子招股说明书,广立微招股说明书,芯愿景招股说明书(申报稿),西南证券整理 企业企业 拥有专利情况拥有专利情况 华大九天 截至2021年12月,华大九天共拥有已授权发明专利150项,软件著作权67项 概伦电子 截至2021年12月,概伦电子已在全球范围内拥有发明专利24项、软件著作权68项 广立微 截至2022年8月,

116、广立微拥有已授权国内外专利68项,其中发明专利32项 芯愿景 截至2022年3月,芯愿景拥有已授权国内外专利21项,其中发明专利16项 57 国内EDA厂商工艺制程 4.2.4 研发投入高水平,技术工艺持续打磨-工艺制程 国内厂商不断向先进工艺制程演进。集成电路的设计与制造均与生产制程密切相关,EDA产品对先进工艺制程的覆盖程度对产品竞争力有着举足轻重的影响。国内厂商紧跟海外领先EDA厂商的发展步伐,持续向先进工艺制程演进,华大九天的EDA产品工艺制程范围为40nm-5nm,全流程工具最高制程达到28nm,点工具最高制程达到5nm,整体保持领先,自研的SPICE电路仿真EDA工具近期通过三星验

117、证,实现对8nm工艺制成的支持,广立微点工具工艺制程范围为180nm-3nm,其中分析工具最高制程达到3nm,已接近国际领先水平,并与国外知名集成电路设计、制造企业在多个先进项目中展开深度合作。资料来源:华大九天招股说明书,广立微招股说明书,芯愿景招股说明书(申报稿),西南证券整理 产品产品 工艺制程工艺制程 说明说明 华大九天 40nm-5nm 2021年11月自研的SPICE电路仿真EDA工具Empyrean ALPS,最近通过了三星认证,实现对其8nm工艺制程的支持 广立微 180nm-3nm 团队已于国外知名集成电路设计、制造企业在40/28nm、10/7nm与5nm工艺测试、数据分析

118、、产品成品率提升以及高密度测试芯片设计等最先进的项目上展开过多次深度合作 芯愿景 180nm-5nm 公司持续跟踪研究行业最前沿技术,所实施的IC分析项目中14nm及一下工艺支撑项目达174个、7nm及以下工艺制程项目达75个 58 国内厂商客户合作情况 4.2.5 上下游深度合作,教育生态助力发展-客户合作 EDA的研发同时需要计算机、数学、物理知识,并在应用场景中持续打磨和优化,以适应行业需求,EDA厂商与掌握先进工艺的晶圆厂商合作的密切程度会影响其工具的迭代速度。国内EDA厂商与各大晶圆厂商深度合作,持续打磨产品,构建EDA生态,共同发展进步。华大九天与国内集成电路细分领域的众多核心客户

119、建立稳定的合作关系,与晶圆制造领域的中芯国际、华力微电子、华虹宏力等厂商,芯片设计领域的华为海思、中兴微电子、紫光展锐等厂商,国内主要的CPU设计企业飞腾、兆芯、龙芯等厂商,以及液晶平板显示领域的京东方、华星光电、维信诺、重庆惠科等厂商深入合作,同时与三星、海力士、Marvell等国际知名半导体企业建立合作关系,构建共赢的EDA生态。资料来源:华大九天招股说明书,概伦电子招股说明书,广立微招股说明书等,西南证券整理 公司公司 合作客户合作客户 华大九天 国内晶圆制造领域:国内晶圆制造领域:中芯国际、华力微电子、华虹宏力等 芯片设计领域:芯片设计领域:华为海思、中兴微电子、紫光展锐等 CPUCP

120、U设计企业:设计企业:飞腾、兆芯、龙芯等 液晶平板显示领域:液晶平板显示领域:京东方、华星光电、维信诺、重庆惠科等 海外半导体厂商:海外半导体厂商:三星、SK海力士、Marvell 概伦电子 SK海力士、美光科技、联电、台芯、格芯、华为海思、中芯国际 广立微 三星电子、华虹集团、粤芯半导体、合肥晶合、长鑫存储 59 国内厂商高校合作情况 4.2.5 上下游深度合作,教育生态助力发展-教育市场 国内厂商积极与高校合作,构建教育生态,通过向教育市场提供产品和解决方案,帮助用户形成对公司产品的使用习惯,同时实现了在教育阶段的人才培养。华大九天与高校合作,通过华大九天联合实验室集成电路训练营、集成电路

121、设计联合实验室、全国大学生集成电路创新创业大赛等合作项目,深入参与到IC设计和IC应用的EDA人才培养当中,不仅为高校提供大量相关EDA工具和EDA的技术支持,并且和高校、企业以及专业化的人才培养平台一起进行IC设计相关的EDA应用培训。通过不同渠道、不同维度、不同方式,推动教育界与产业界融合,协同合作进行人才的培养和挖掘。资料来源:华大九天招股说明书,概伦电子招股说明书,广立微招股说明书等,西南证券整理 公司公司 合作项目合作项目 参与高校参与高校 华大九天 华大九天联合实验室集成电路训练营 集成电路设计联合实验室 全国大学生集成电路创新创业大赛 东南大学,广东工业大学,华南理工大学,沈阳工

122、业大学,大连理工大学,哈尔滨工业大学,北京邮电大学,吉林大学,复旦大学,厦门大学,北京理工大学,上海交通大学,电子科技大学 概伦电子 EDA创新联合实验室EDA专业方向研究生 北京大学,上海交通大学,山东大学 广立微 合作研发 浙江大学 60 4 5 目 录 2 4 1 EDA集成电路设计的基石 2 市场规模快速增长,海外厂商份额领先 3 海外龙头多年积淀,高筑行业壁垒 4 国内厂商迎来机遇,华大九天引领发展 4 4 5 EDA厂商梳理 5.1 华大九天 61 资料来源:华大九天公司官网,西南证券整理 北京华大九天科技股份有限公司(简称“华大九天”)成立于2009年,一直聚焦于EDA工具的开发

123、、销售及相关服务业务。2022年在深圳证券交易所创业板上市,是EDA行业内的龙头企业。公司主要产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等EDA软件产品。公司简介 发展历程 5.1 华大九天 62 公司主营业务为EDA软件销售和技术开发服务,其中EDA软件销售主要包括模拟电路设计全流程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统、晶圆制造 EDA 工具。产品体系 资料来源:华大九天招股说明书,西南证券整理 产品类型产品类型 主要工具主要工具 产品特点产品特点 模拟电路设计

124、全流程 EDA 工具系统 原理图和版图编辑工具 Aether 为便于设计师对原理图和版图进行追踪管理、分析优化,在传统的编辑环境基础上增加了设计数据库管理模块、版本管理模块、仿真环境模块和外部接口模块等。电路仿真工具 ALPS 和异构仿真系统 ALPS-GT 公司的电路仿真工具 ALPS 通过创新的大规模矩阵智能求解技术和基于 CPU-GPU 异构系统的仿真加速技术,突破了电路仿真的性能和容量瓶颈,支持了数千万器件规模的电路仿真,仿真性能相比其他电路仿真工具显著提升。物理验证工具 Argus 针对模拟电路设计版图图形的特点,该产品开发了基于边的扫描线技术和版图预处理技术等,显著提升了设计师检查

125、和分析版图设计错误的效率,缩短了产品的设计周期。寄生参数提取工具 RCExplorer 支持晶体管级和单元级寄生参数提取,根据不同的精度要求,提供了三维高精度提取模式和准三维快速提取模式。数字电路设计 EDA 工具 单元库特征化提取工具 Liberal 和单元库/IP 质量验证工具 Qualib 通过内置的高精度电路仿真引擎对标准单元进行仿真分析,精确地提取时序和功耗特征值,形成标准单元库特征化模型。高精度时序仿真分析工具 XTime 该工具提供了高精度时序仿真校验功能、电压/温度灵敏度分析功能、快速工艺偏差分析功能和老化仿真分析功能等,为设计师分析电路时 序可靠性提供了重要支撑。时序功耗优化

126、工具 XTop 通过创新的层次设计数据并行处理技术、动态时序建图技术和增量布局技术等,显著提高了时序和功耗优化的效率和质量。版图集成与分析工具 Skipper 通过基于索引的版图数据并行读取技术、版图数据内存镜像技术和图形索 引技术等,实现了超大规模版图的快速处理。平板显示电路设计全流程 EDA 工具系统 平板显示电路设计原理图和版图编辑工具 AetherFPD 通过创新的旋转单元编辑技术、异形填充技术以及平板显示电路设计自动布局布线技术,帮助设计师高效完成满足异形形状和设计规则约束的版图设计,提高了异形版图设计的效率和质量。平板显示电路设计物理验证工具 ArgusFPD 1.满足传统平板显示

127、电路设计的 DRC/LVS 验证要求,保证了物理验证的精度,解决了不规则电路和版图的验证难题。2.针对平板显示电路设计高重复阵列式设计特点,通过设计规则违例识别和聚类技术,显著提升了设计师检查和分析设计违例的效率,缩短了产品的设计周期。平板显示电路设计寄生参数提取工具 RCExplorerFPD 采用基于阵列的电阻和电容提取技术以及基于有限元方法的高精度 电阻计算技术等,在保证寄生参数提取精度的同时,极大的提升了计算效率。平板显示电路设计可靠性分析工具 ArtemisFPD 1.通过全面板热电分析技术实现了对大规模网络的电流 和电压快速计算,大幅提升了平板显示电路设计可靠性分析的效率。2.提供

128、了数据快速装载和查询功能,用于电压、电流、温度等数据的分析查询,为设计师提供了便捷、高效的分析和调试环境。晶圆制造 EDA 工具 器件模型提取工具 Xmodel 支持硅基金属氧化物器件、硅基高压器件、分立器件和新型第三代半导体等不同类型的器件模型提取。为晶圆制造厂的器件模型提取和验证提供了重要支撑。存储器编译器开发工具 SMCB 通过创新性的 存储器编译器电路和版图拼接技术,显著提升了电路和版图拼接、关键路径生成以及存 储器实例化的效率。主要产品 5.1 华大九天 63 资料来源:华大九天官网,招股说明书,西南证券整理 管理层背景 公司高管均有多年从业经历,行业经验丰富,技术底蕴深厚。董事长刘

129、伟平曾任职于北京集成电路设计中心课题组长、部门经理、副总经理、副总裁,有较高的业务熟悉度和决策能力。公司股权较为集中,最大控股股东为中国电子有限公司,持有公司股份22.3%,北京九创汇新资产管理合伙企业持股17.63%。公司前十大股东均为企业及资产管理计划,无自然人。姓名姓名 职位职位 背景背景 刘伟平 董事长 1989年8月至2002年6月,历任北京集成电路设计中心(后更名为中国华大集成电路设计中心)课题组长、部门经理、副总经理、副总裁;2002年6月至2009年6月,任北京中电华大电子设计有限责任公司总经理;20092009年年6 6月至今,历任公司总经理、月至今,历任公司总经理、董事长。

130、现任公司董事长。董事长。现任公司董事长。董大伟 董事 2008年4月至2009年7月,任北京中电华大电子设计有限责任公司IP模块技术部工程师;20092009年年7 7月至今,历任中国电子信息月至今,历任中国电子信息产业集团有限公司系统装备部综合运营处主管、专项副经理、产业集团有限公司系统装备部综合运营处主管、专项副经理、规划科技部集成电路处副处长、处长。规划科技部集成电路处副处长、处长。现任本公司董事。宋少文 董事 2009年7月至2016年12月,历任中国电子信息产业集团公司资历任中国电子信息产业集团公司资产经营部改革重组处业务主办、主管产经营部改革重组处业务主办、主管。2016年12月至

131、2019年1月任中国电子信息产业集团有限公司资产经营部重组整合处副处长;2020年12月至今,任中电文思海辉技术有限公司董事会秘书。现任本公司董事。现任本公司董事。王静 董事 1994年9月至1997年9月,任国土资源部水文地质与环境地址研究所所长秘书;1997年10月至2004年12月,任同济大学孙钧院士学术助理;2006年2月至2017年10月,历任上海城建(集团)公司中央研究院科技主管、运营总监;20172017年年1111月至今,任上月至今,任上海建元股权投资基金管理合伙企业(有限合伙)董事总经理。海建元股权投资基金管理合伙企业(有限合伙)董事总经理。现任本公司董事。现任本公司董事。李

132、尧 董事 2011年9月至2014年12月,就职于德勤华永会计师事务所北京分所,担任高级审计师;20142014年至今,历任华芯投资管理有限年至今,历任华芯投资管理有限公司风险管理部经理、投资二部经理、投资二部高级经理。公司风险管理部经理、投资二部经理、投资二部高级经理。现任本公司董事。股东名称股东名称 持股比例持股比例 中国电子有限公司 22.3%北京九创汇新资产管理合伙企业(有限合伙)17.63%中电金投控股有限公司 12.84%上海建元股权投资基金管理合伙企业(有限合伙)-上海建元股权投资基金合伙企业(有限合伙)11.05%国家集成电路产业投资基金股份有限公司 8.88%中小企业发展基金

133、(深圳有限合伙)5.15%深圳市创新投资集团有限公司 3.38%元禾璞华(苏州)投资管理有限公司-江苏疌泉元禾璞华股权投资合伙企业(有限合伙)2.22%中信证券-招商银行-中信证券华大九天员工参与创业板战略配售集合资产管理计划 1.83%上海韦尔半导体股份有限公司 0.34%前十大股东情况 5.1 华大九天 64 数据来源:华大九天定期报告,wind,西南证券整理 华大九天近四年营业收入保持稳健增长,但增长速度有所放缓。2021年公司实现总营收5.8亿元,归母净利润1.4亿元,同比增长38.1%/40.0%。主要系国内 EDA行业持续增长,公司在国内的市场份额不断提升;同时公司凭借持续的研发投

134、入和强大的技术实力,满足原有客户的需求,并不断拓展新客户。公司2018-2021的EDA软件销售收入分别为1.3亿元、2.1亿元、3.4亿元和 1.4亿元,占主营业务收入的比重分别为 92.93%、84.67%、84.96%和 79.54%,是公司最主要的收入来源,复合增长 率达 61.12%。其中全流程 EDA 工具系统占比最高。公司技术开发及服务2018-2020年度复合增长率达145.84%,增速较快。主要原因为公司在集成电路领域经验和能力的不断积累和提升,服务内容的不断提升和增强。公司经营状况描述 公司营收情况 归母净利润情况 毛利率情况 主营业务构成 1.5 2.6 4.2 5.8

135、73.3%61.5%38.1%0%20%40%60%80%0.01.02.03.04.05.06.07.020021 总营收(亿元,左轴)同比(右轴)0.5 0.6 1.0 1.4 20.0%66.7%40.0%0%10%20%30%40%50%60%70%80%0.00.20.40.60.81.01.21.41.620021归母净利润(亿元,左轴)同比(右轴)49.6%49.1%55.5%60.7%35.4%30.3%23.8%15.1%3.2%4.0%3.9%8.1%5.1%1.5%2.1%2.0%6.7%15.1%14.7%14.1%0%20%

136、40%60%80%100%20021 全流程EDA工具系统 数字电路设计EDA工具 晶圆制造EDA工具 其他业务 技术开发服务 100.0%100.0%100.0%100.0%34.3%26.0%24.7%34.6%95.4%88.7%88.7%89.4%0.0%20.0%40.0%60.0%80.0%100.0%120.0%2018 2019 2020 2021 EDA软件销售 技术开发服务 综合毛利率 5.2 主要厂商概伦电子 65 概伦电子成立于2010年,公司自成立以来一直专注于 EDA 工具的自主设计和研发,推动集成电路设计与制造领域的深度和高效联动,提高我国集

137、成电路行业整体技术水平和市场竞争力。概伦电子作为大规模高精度集成电路仿真、高端半导体器件建模、半导体参数测试解决方案的厂商,经过多年的研发投入,公司已完成从技术到产品的成功转化,其创新的 EDA方法学(“设计-工艺协同优化(DTCO)”方法学)、专业的产品和服务价值得到了行业的高度认可。公司简介 资料来源:公司官网,公司定期报告,西南证券整理 主要客户 发展历程 2010年 2011年 2012年 2013年 2014年 2015年 2016年 2018年 2019年 2020年 概伦电子注册成立注册成立 自动化建模平台SDEPSDEP 被领先IDM采用 建模 仿真 发布通用并行SPICE电路

138、仿真器NanoSpiceNanoSpice 发布新一代 低频噪声测试仪器9812DX9812DX NanoSpiceNanoSpice 系列仿真器持续被多家国内外领先集成电路企业特别是存储器厂商大规模采用 发布FastSPICE 电路仿真器 NanoSpice ProNanoSpice Pro 低频噪声测试仪器9812DX9812DX 持续被领先晶被领先晶圆代工厂采用圆代工厂采用 新增半导体参数测试 仪器FSFS-ProPro 发布全集成 低频半导体 器件特性测试 解决方案 测试 发布良率导向设计平台NanoYieldNanoYield 发布电路与工艺互动设计平台MEME-ProPro 加强工

139、艺设计互动 发布全新先进器件建模平台BSIMProPlusBSIMProPlus 发布自动化建模平台SDEPSDEP 新增高频器件建模平台MeQLabMeQLab 新增PDK验证软件PQLabPQLab 发布GigaSPICE电路仿真NanoSpice GigaNanoSpice Giga 弥补传统SPICE和FastSPICE的不足;发布波形查看器NanoWaveNanoWave 先进器件建模平台BSIMProPlusBSIMProPlus 被绝大部分领先晶圆代工厂采用 管理层背景 资料来源:公司定期报告、西南证券整理 公司管理层及核心技术人员团队稳定,团队人员多出自ProPlus,部分继承

140、BTA技术积累,起点较高。ProPlus系公司董事长、核心技术人员刘志宏(LIU Zhigong)于2006年成立的子公司,BTA Technology 系刘志宏和其导师胡正明于1993年联合创立的公司。公司管理层及核心技术人员大多曾任职于Cadence、Proplus和BTA,完成了初步的技术积累。股东情况 概伦电子股权分布较为集中。董事长刘志宏与公司股东共青城峰伦、KLProtech为公司一致行动人,董事长刘志宏为公司实际控制人。公司第三、七、八、九、十大股东为机构投资者,共持股22.25%。KLProTech为公司境外持股平台,共青城明伦、共青城伟伦为公司境内员工持股平台。姓名姓名 职务

141、职务 背景背景 刘志宏 董事长,核心技术人员 加州大学伯克利分校集成电路博士后集成电路博士后;曾任职BTA Technology,Inc.、Celestry Design Technology,Inc.、铿腾电子铿腾电子、ProPlus、概伦有限。现任公司董事长。杨廉峰 董事、总裁 曾任英国格拉斯哥大学研究助理、铿腾电子北京研发中心高级产品工程师铿腾电子北京研发中心高级产品工程师、ProPlusProPlus共同创始人、全球副总裁、概伦有限及公司共同创始人、副总裁、高级副总裁、总裁、首席运营官。现任公司董事、总裁、首席运营官。徐懿 董事、执行副总裁 曾就职于中日友好医院、中国惠普有限公司中国惠

142、普有限公司、BTABTA Technology,Technology,IncInc.、CelestryCelestry DesignDesign Technology,Technology,IncInc.、铿腾电子铿腾电子、瑞沃思科技有限公司、ProPlusProPlus、概伦有限、Ambient Scientific Inc.。现任公司董事、执行副总裁、首席战略官。李石松 职工代表监事 曾就职于北京普拉普斯北京普拉普斯、ProPlusProPlus。2020 年至今,任公司高级 IT 经理、高级首席系统工程师;现任公司监事。李严峰 执行副总裁 曾就职于铿腾电子铿腾电子、普迪飞普迪飞、北京艾克

143、赛利微电子技术有限公司、安捷伦安捷伦、博达微、概伦有限公司。现任公司执行副总裁、首席产品官。马玉涛 核心技术人员 曾就职于CelestryCelestry DesignDesign TechnologiesTechnologies、铿腾电子铿腾电子、ProPlusProPlus。2020 年加入公司,现任公司研发副总裁。方君 核心技术人员 曾就职于铿腾电子铿腾电子、北京普拉普斯北京普拉普斯。2018 年加入公司,现任公司研发副总裁。石凯 核心技术人员 曾任北京普拉普斯高级器件工程师北京普拉普斯高级器件工程师、高级研发经理高级研发经理、软件架构师软件架构师。2018 年加入公司,现任公司软件架构

144、师。股东名称股东名称 持股比例持股比例 股东性质股东性质 KLProTech 21.12%境外法人 刘志宏 16.15%境外自然人 金秋投资 7.74%其他 共青城明伦 7.11%其他 共青城峰伦 5.58%其他 共青城伟伦 4.99%其他 英特尔 4.87%境内非国有法人 衡琛投资 3.75%其他 博达投资 3.41%其他 嘉橙投资 2.48%其他 5.2 主要厂商概伦电子 66 67 67 主要产品 公司的主要为向晶圆厂商、芯片厂商提供EDA 产品及解决方案。主要产品及服务包括制造类 EDA 工具、设计类 EDA 工具、半导体器件特性测试仪器和半导体工程服务等。公司在器件建模和电路仿真两大

145、集成电路制造和设计的关键环节掌握了具备国际市场竞争力、自主可控的 EDA 核心技术,构建了较高的技术壁垒,为公司在持续开展技术创新、保持技术先进性和市场地位、拓宽产品类别等方面提供了坚实基础。从收入结构来看,EDA工具授权和半导体器件特性测试仪器为公司两大主营业务。2021年EDA工具授权业务实现收入1.4亿元,同比增长47.6%,占比72.2%;其次是半导体器件特性测试仪器系列产品实现营收0.5亿元,同比增长87.1%,占比23.6%。资料来源:公司定期报告、公司官网,西南证券整理 产品系列产品系列 产品名称产品名称 主要功能主要功能 优势优势 设计类EDA NanoSpice 通用并行电路

146、仿真器 NanoSpice是概伦电子推出的新一代大容量、高精度、高性能并行SPICE电路仿真器,特别对高精度模拟电路和大规模后仿电路的电路对高精度模拟电路和大规模后仿电路的电路仿真进行优化仿真进行优化,同时满足高精度、大容量和高性能的高端电路仿真需求。大容量:大容量:无需简化电路,仿真容量5倍+;高性能:高性能:同等精度下快2倍+;易使用:易使用:即插即用,可与标准流程轻松集成;硅精准:硅精准:经16/14/10/7/5nmFinFET和28nmFD-SOI工艺验证 NanoSpiceGiga 千兆级高精度电路仿真器 NanoSpiceGiga是概伦电子自主研发的千兆级晶体管级SPICE电路仿

147、真器,通过基于大数据的并行仿真引擎处理十亿以上单元的电路仿真处理十亿以上单元的电路仿真,可以用于各类存储器电路可以用于各类存储器电路、定制数字电路和全定制数字电路和全芯片的仿真验证芯片的仿真验证。千兆级:千兆级:十亿元件以上全芯片仿真验证;高性能:高性能:支持多进程、多线程并行实现快速仿真;易使用:易使用:可直接替代现有仿真器,无需复杂选项;硅精准:硅精准:经16/14/10/7/5nm的FinFET和28nmFD-SOI工艺验证 NanoSpicePro 高性能大容量FastSPICE电路仿真器 NanoSpicePro是一款概伦电子自主研发的FastSPICE电路仿真器,通过其独特的双引擎

148、架构能显著提升芯片设计人员的生产力,解决大规模存储器解决大规模存储器、FPGAFPGA、定制数字和系统级定制数字和系统级芯片芯片(SoCSoC)等复杂设计的验证难题等复杂设计的验证难题。算法优势算法优势:智能拓扑识别和自动分区技术;高容量:高容量:仿真吞吐量可提升至10倍以上;高性能:高性能:实现32+的多核并行仿真性能线性增长;一站式存储器芯片仿真解决方案一站式存储器芯片仿真解决方案 半导体器件特性测试 仪器 FS-Pro 半导体参数测试系统 FS-Pro 半导体参数测试系统是一款功能全面、配置灵活的半导体器件电学特性分析设备,在一个系统中实现了电流电压实现了电流电压 (IV)(IV)测测试

149、试、电容电压电容电压 (CV)(CV)测试测试、脉冲式脉冲式 IVIV 测试测试、任意线性波形发生与测量任意线性波形发生与测量、高速时域信号釆集高速时域信号釆集以及低频噪声测试能力以及低频噪声测试能力。通用性:支持主流FoundryPDK格式、主流EDA工具;自动化:自动化:高度集成自动化创建版图验证测试图形;灵活性:灵活性:支持用户多种自定义方式产生测试图形;复用性:复用性:已有的PDKQA设置和产生测试图形的方式可被重复利用在其它验证项目中 半导体工程服务 工程服务中心 概伦电子工程服务中心长期为国内外半导体长期为国内外半导体客户提供器件测试结构设计客户提供器件测试结构设计、电学性能测试电

150、学性能测试、SPICESPICE模型建立与验证模型建立与验证、PDKPDK开发与验证开发与验证、单元单元库特征化和库特征化和IPIP开发等一站式工程服务开发等一站式工程服务。领先性:领先性:工程经验覆盖平面工艺和FinFET工艺,技术领先;专业性:专业性:专家团队专业技术背景深厚和服务芯片行业近20年;高效率:高效率:并行测试、仿真、参数自动提取和自动化等方式显著提高工程服务效率 5.2 主要厂商概伦电子 26.8%90.3%19.8%23.9%32.0%988.4%19.9%22.4%51.2%361.9%38.9%41.0%-2.4%-1.5%2.8%-6.9%-200%0%200%400

151、%600%800%1000%1200%20021销售费用率 管理费用率 研发费用率 财务费用率 数据来源:公司定期报告、wind,西南证券整理 2021年公司实现营业收入1.94亿元,同比增长41.0%,2018-2021年CAGR为55.1%。2021年公司实现归母净利润0.29亿元,同比下降1.4%,2020年首次实现正的归母净利润,公司业绩增长潜力大。从收入结构来看,EDA工具授权和半导体器件特性测试仪器为公司两大主营业务。2021年EDA工具授权业务实现收入1.4亿元,同比增长47.6%,占比72.2%;其次是半导体器件特性测试仪器系列产品实现营收0.5亿元,同比

152、增长87.1%,占比23.6%。费用管理欠佳,综合毛利率有所下降。公司积极扩大EDA工具授权业务和半导体器件特性测试仪器,二者销售收入分别同比增长47.64%、87.08%,整体销售毛利率同比增长2.6%。但由于销售费用、管理费用及研发费用分别增长70.5/58.6/48.4%,导致综合毛利率下降4.0%。公司营收情况 主营业务构成 综合毛利率 归母净利润情况 52.0 65.5 137.5 193.9 26.1%109.9%41.0%0%20%40%60%80%100%120%050021总营收(百万元,左轴)同比(右轴)83.4%84.7%69.

153、0%72.2%1.3%9.0%17.8%23.6%13.7%5.1%12.9%3.3%1.5%1.2%0.4%0.9%0%20%40%60%80%100%20021EDA工具授权 半导体器件特性测试仪器 半导体工程服务 其他业务-7.9-877.4 29.0 28.6-11001.3%103.3%-1.4%-11100%-8600%-6100%-3600%-1100%-900-800-700-600-500-400-300-8201920202021归母净利润(百万元,左轴)同比(右轴)5.2 主要厂商概伦电子 68 5.3 主要厂商广立微 6

154、9 杭州广立微电子股份有限公司成立于2003年,是国内领先的集成电路EDA软件与晶圆级电性测试设备供应商,设有长沙广立微、广立测试、上海广立微三个分支机构及子公司,2022年8月申请在创业板上市。公司专注于芯片成品率提升和电性测试快速监控技术,是国内外多家大型集成电路制造与设计企业的重要合作伙伴,目前公司拥有已授权国内外专利68项,其中发明专利32项。公司简介 资料来源:公司官网,西南证券整理 重点客户 发展历程 广立微成立广立微成立 DataExp电性能数据分析软件、可寻址测试芯片解决方案推出。SmtCell/TCMagic测试芯片自动化设计EDA软件面世 设计效率10X 推出快速电学参数测

155、试设置Semitronix tester l,电流测试精度电流测试精度100pA100pA 上海分公司成立上海分公司成立 推出超高密度阵列技术Dense Arrary 测试机研发中心成立测试机研发中心成立 长沙广立微电子有限公司成立长沙广立微电子有限公司成立 WAT测试设备产能提升,在多家FAB量产线普及应用 DE-General V1.0正式发布 软硬件协同的软硬件协同的HDYS IPHDYS IP推出推出 可寻址测试芯片设计EDA软件:ATCompiler内置可寻址IP;设计设计效率效率10X10X 推出快速电学参数测试设备、可寻址测试芯片解决方案、电容测试技术方案 电流测试精度电流测试精

156、度100pA100pA,测试效率,测试效率1 1-5X5X 集成电路芯片量产用集成电路芯片量产用WATWAT设备商业应用设备商业应用 推出DE2大数据分析平台、EDA大数据分析平台alpha版 片上测试加速IP 公司在创业板申报上市公司在创业板申报上市 20032003年年 20122012年年 20142014年年 20162016年年 20182018年年 20202020年年 20212021年年 20222022年年 20092009年年 20072007年年 管理层背景 资料来源:公司定期报告、东方财富网、西南证券整理 公司管理层专业背景深厚,团队博士学历占比高。董事长张吉林作为公司

157、核心技术人员博士毕业于康奈尔大学化学工程专业,曾任职于PDF/Solutions、Xilinx INC.等EDA制造商,在EDA工具相关领域研究经验丰富;董事、副总经理史峥本硕毕业于清华大学,获得浙江大学电路与系统专业博士,专业背景深厚,曾就职于浙江大学,科研经历丰富,深耕EDA行业多年。股东情况 广立微股权较为集中,有实际控制人,前十大股东总持股比列达65.09%。董事长郑勇军是公司的实际控制人,郑勇军先生直接持有发行人公司6.02%的股份,通过持有广立微投资、广立共创、广立共进部分股权,间接持有公司31.94%的股份,合计控制公司37.96%股份对应的表决权,为公司的实际控制人。广立微投资

158、、广利共创、广利共进等为员工持股平台。姓名姓名 职务职务 背景背景 郑勇军 董事长,总经理,核心技术人员 博士学历。曾任职于PDF SolutionsPDF Solutions、Xilinx INC.Xilinx INC.,2007年至2015年由浙江大学聘任为特聘研究员,2007年始就职于广立微有限,历任总经理、董事长、董事长兼总经理。2020年11月至今任广立微董事长兼总经理。史峥 董事,副总经理 博士学历。曾任职于西湖电子集团、西湖电子集团、Symmetry Design SystemsSymmetry Design Systems、浙江大学、浙江大学。2003年8月始在广立微有限任职,

159、历任监事、董事兼总经理、董事。2020年11月至今任广立微董事。杨慎知 董事,副总经理,核心技术人员 博士学历。曾任职于PDF SolutionsPDF Solutions、IBM公司。2016年4月始任广立微有限副总经理。2020年11月至今任广立微董事兼副总经理。潘伟伟 监事会主席,核心技术人员 博士学历,浙江大学博士后。曾在浙江大学从事专职科研,期间,在广立微有限兼职。2020年7月始在广立微有限任职,历任设计部经理、总监。2020年11月至今任广立微监事会主席、设计部总监。邵康鹏 核心技术人员 硕士学历。2013年6月至2020年11月任广立微有限软件研发部总监广立微有限软件研发部总监

160、,2020年11月至今任广立微软件研发部总监。陆春龙 财务负责人、董事会秘书 曾就职于天健会计师事务所、浙江至诚会计师事务所、曼卡龙珠宝股份有限公司、浙江瑞能通信科技股份有限公司、杭州德意电器股份有限公司、杭州思元智能科技有限公司。2020年11月至今任广立微财务负责人兼董事会秘书。股东名称股东名称 持股比例持股比例 广立微股权投资 16.62%广立共创 11.87%史峥 8.19%武岳峰亦合 7.20%郑勇军 6.02%广立共进 3.45%崇福众科 3.41%杨慎知 2.97%聚源信诚 2.43%建合工业 2.93%5.3 主要厂商广立微 70 71 71 主要产品 广立微是领先的集成电路E

161、DA 软件与晶圆级电性测试设备供应商。依托软件工具授权、软件技术开发和测试机及配件三大主业,提供EDA软件、电路IP、WAT测试设备以及与芯片成品率提升技术相结合的全流程解决方案。产品可覆盖集成电路从设计到量产的整个产品周期。资料来源:公司定期报告、公司官网,西南证券整理 产品系列产品系列 产品名称产品名称 主要功能主要功能 优势优势 EDA软件 TCMagic TCMagic是一款通用型的测试芯片版图自动化设计平台通用型的测试芯片版图自动化设计平台,基于其独特的软件架构设计和算法支持,在测试芯片设计过程中大幅提升设计效率。其主要功能包括基本单元版图批量生成、模块级版其主要功能包括基本单元版图

162、批量生成、模块级版图自动布局布线、最终版图布局整合,以及版图相关设计文档、测试相关文档的自动生成。图自动布局布线、最终版图布局整合,以及版图相关设计文档、测试相关文档的自动生成。支持FinFETFinFET工艺工艺的测试芯片版图设计 支持系统的文档管理 ATCompiler ATCompiler是一款强大的可寻址测试芯片版图设计平台可寻址测试芯片版图设计平台。该平台提供了完整的大型可寻址及划该平台提供了完整的大型可寻址及划片槽内可寻址测试芯片的版图设计解决方案,片槽内可寻址测试芯片的版图设计解决方案,包括基于公司电路IP的外围电路快速设计、基本单元版图批量生成、模块级版图自动布局布线、最终版图

163、布局整合、全芯片仿真和验证以及设计文档和测试程序的自动生成等功能。通过寻址电路可以提升芯片密度5X20X,并且保持国际领先的设计精度保持国际领先的设计精度。该系列测试芯片在多个工艺节点得到设计在多个工艺节点得到设计验证验证,实际满足了先进工艺产品开发和制实际满足了先进工艺产品开发和制造过程监控的需求造过程监控的需求。DataEXp DataExp 是广立微建立的结合“快捷报表浏览”和“灵活即时性分析”于一体的分析平台,结合“快捷报表浏览”和“灵活即时性分析”于一体的分析平台,支持多种数据格式,可重复使用画图模板,能够有效地帮助半导体企业发挥数据价值,提升成品能够有效地帮助半导体企业发挥数据价值

164、,提升成品率和提高产品性能。率和提高产品性能。数据统一管理数据统一管理 数据可视化数据可视化 高效处理数据高效处理数据 DenseArray Dense Array是一款基于超高密度测试芯片设计及快速测试技术的版图自动化软件快速测试技术的版图自动化软件。在超高密度方面,可以做到10mm一百万个待测器件(DUT),即10um/DUT。在超快测试方面,通过片上控制模块和测试设备的协同优化,可以达到每秒10K样本量的测量速率,通过并行测试能线性加速,通过并行测试能线性加速,有效地缩短测试时间,有效地缩短测试时间,满足先进工艺下百万分率、甚至十亿分率的异常点检测的需求。支持多种失效模式多种失效模式的异

165、常点检测、异常点失效分析定位准确 支持支持IPIP定制定制+待测器件灵活设计待测器件灵活设计的软件模式,降低设计复杂度降低设计复杂度、支持DRC/LVS自动验证,轻松实现全芯片的无差错设计 WAT 测试设备-在集成电路制造过程中,通过通过WAT测试测试(晶圆允收测试)提取工艺和器件性能的信息用于指导晶圆允收测试)提取工艺和器件性能的信息用于指导芯片产品的过程研发和生产控制芯片产品的过程研发和生产控制。为了满足先进工艺对高效率电性测试的需求,广立微电子自主研发了WAT测试设备。在满足量产精度要求的基础上,广立微WAT设备throughput是现有方是现有方案效率的案效率的1.4X-5X。设备测试

166、性能高设备测试性能高 测试软件丰富测试软件丰富 实现功能工厂自动化实现功能工厂自动化 5.3 主要厂商广立微 数据来源:公司定期报告、wind,西南证券整理 2021年公司实现营业收入1.98亿元,同比增长59.9%,2018-2021年CAGR为85.3%。2021年公司实现归母净利润0.64亿元,同比增长28.1%,2018-2021 年 CAGR为81.6%,业绩整体实现爆发式增长。从收入结构来看,测试机及配件相关产品和软件工具授权为公司两大主要收入来源。2021年测试机及配件相关产品实现收入1.01亿元,同比增长227.0%,占比50.8%;其次是软件工具授权实现营收0.53亿 元,同

167、 比增 长 78.5%,占比26.8%。短期毛利率波动。受收入结构变化的影响,公司综合毛利率下降。2021 年度,公司销售毛利率较低的测试机及配件收入占比提升,占公司营业收入的比例为 50.77%,收入1.01亿元,毛利率为 54.78%,导致公司综合毛利率下降。公司营收情况 主营业务构成 综合毛利率 归母净利润情况 31.2 66.1 123.9 198.1 112.3%87.3%59.9%0%20%40%60%80%100%120%050021总营收(百万元,左轴)同比(右轴)18.0%11.6%24.8%50.8%34.3%39.2%24.0%

168、26.8%39.4%45.6%49.2%22.3%8.2%3.6%1.9%0.1%0%20%40%60%80%100%20021测试机及配件 软件工具授权 软件技术开发 测试服务-10.0 19.3 49.8 63.8 293.9%157.5%28.1%0%50%100%150%200%250%300%-20308020021归母净利润(百万元,左轴)同比(右轴)-35.2%32.3%43.4%34.6%-40%-30%-20%-10%0%10%20%30%40%50%20021综合毛利率 5.3 主要厂商广立微 72 分析师:

169、王湘杰 执业证号:S02 邮箱: 联系人:叶泽佑 电话: 邮箱: 西南证券投资评级说明西南证券投资评级说明 公司评级 买入:未来6个月内,个股相对沪深300指数涨幅在20%以上 持有:未来6个月内,个股相对沪深300指数涨幅介于10%与20%之间 中性:未来6个月内,个股相对沪深300指数涨幅介于-10%与10%之间 回避:未来6个月内,个股相对沪深300指数涨幅介于-20%与-10%之间 卖出:未来6个月内,个股相对沪深300指数涨幅在-20%以下 行业评级 强于大市:未来6个月内,行业整体回报高于沪深300指数5%以上 跟随大市:未来6个月内,行

170、业整体回报介于沪深300指数-5%与5%之间 弱于大市:未来6个月内,行业整体回报低于沪深300指数-5%以下 分析师承诺分析师承诺 报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格并注册为证券分析师,报告所采用的数据均来自合法合规渠道,分析逻辑基于分析师的职业理解,通过合理判断得出结论,独立、客观地出具本报告。分析师承诺不曾因,不因,也将不会因本报告中的具体推荐意见或观点而直接或间接获取任何形式的补偿。重要声明重要声明 西南证券股份有限公司(以下简称“本公司”)具有中国证券监督管理委员会核准的证券投资咨询业务资格。本公司与作者在自身所知情范围内,与本报告中所评价或推荐的证券不存在法

171、律法规要求披露或采取限制、静默措施的利益冲突。证券期货投资者适当性管理办法于2017年7月1日起正式实施,若您并非本公司签约客户,为控制投资风险,请取消接收、订阅或使用本报告中的任何信息。本公司也不会因接收人收到、阅读或关注自媒体推送本报告中的内容而视其为客户。本公司或关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还可能为这些公司提供或争取提供投资银行或财务顾问服务。本报告中的信息均来源于公开资料,本公司对这些信息的准确性、完整性或可靠性不作任何保证。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的的价格、价值及投资收入可升可跌,过往表现不

172、应作为日后的表现依据。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告,本公司不保证本报告所含信息保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本报告仅供参考之用,不构成出售或购买证券或其他投资标的要约或邀请。在任何情况下,本报告中的信息和意见均不构成对任何个人的投资建议。投资者应结合自己的投资目标和财务状况自行判断是否采用本报告所载内容和信息并自行承担风险,本公司及雇员对投资者使用本报告及其内容而造成的一切后果不承担任何法律责任。本报告及附录版权为西南证券所有,未经书面许可,任何机构和个人不得以任何形式翻版、复

173、制和发布。如引用须注明出处为“西南证券”,且不得对本报告及附录进行有悖原意的引用、删节和修改。未经授权刊载或者转发本报告及附录的,本公司将保留向其追究法律责任的权利。西南证券研究发展中心 西南证券研究发展中心 西南证券研究发展中心西南证券研究发展中心 上海上海 深圳深圳 地址:上海市浦东新区陆家嘴东路166号中国保险大厦20楼 地址:深圳市福田区深南大道6023号创建大厦4楼 邮编:200120 邮编:518040 北京北京 重庆重庆 地址:北京市西城区金融大街35号国际企业大厦A座8楼 地址:重庆市江北区金沙门路32号西南证券总部大楼 邮编:100033 邮编:400025 西南证券机构销售

174、团队西南证券机构销售团队 区域区域 姓名姓名 职务职务 座机座机 手机手机 邮箱邮箱 上海上海 蒋诗烽 总经理助理/销售总监 崔露文 高级销售经理 王昕宇 高级销售经理 高宇乐 销售经理 薛世宇 销售经理 张玉梅 销售经理 北京北京 李杨 销售总监 张岚 销售副

175、总监  杜小双 高级销售经理 来趣儿 销售经理 王宇飞 销售经理 广深广深 郑龑 广州销售负责人/销售经理 陈慧玲 销售经理 杨新意 销售经理 张文锋 销售经理 陈韵然 销售经理

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(计算机行业EDA专题报告:行业快速发展国产替代前景可期-220818(76页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部