《电子行业半导体设备板块专题:近期荷兰、日本半导体出口管制清单措施分析-230717(21页).pdf》由会员分享,可在线阅读,更多相关《电子行业半导体设备板块专题:近期荷兰、日本半导体出口管制清单措施分析-230717(21页).pdf(21页珍藏版)》请在三个皮匠报告上搜索。
1、 证券研究报告证券研究报告 请务必阅读正文之后第请务必阅读正文之后第 20 页起的免责条款和声明页起的免责条款和声明 近期荷兰、日本近期荷兰、日本半导体半导体出口管制清单措施分析出口管制清单措施分析 电子行业半导体设备板块专题2023.7.17 中信证券研究部中信证券研究部 核心观点核心观点 徐涛徐涛 科技产业联席首席分析师 S03 王子源王子源 半导体分析师 S02 我们认为我们认为荷兰、日本荷兰、日本的半导体的半导体出口管制出口管制核心目标为限制先进制程,与核心目标为限制先进制程,与美国美国 2022年年 10 月月 7 日的出口管制目的相似日的
2、出口管制目的相似,主要压制了中国大陆半导体制造环节未来主要压制了中国大陆半导体制造环节未来技术节点升级(走向技术节点升级(走向 7nm 及以下)的进程,而对于国内主流的成熟制程产线及以下)的进程,而对于国内主流的成熟制程产线(28nm 及以上)扩产影响及以上)扩产影响有限有限。我们预计我们预计“小院高墙”式管制策略“小院高墙”式管制策略未来还未来还将将延续延续。国内。国内科技突围有赖于国内全产业链的配合协同,聚焦上游的设备、零部科技突围有赖于国内全产业链的配合协同,聚焦上游的设备、零部件、材料等环节件、材料等环节。建议关注建议关注国内头部设备企业在“卡脖子”国内头部设备企业在“卡脖子”领域领域
3、的潜在布局。的潜在布局。日本政府、荷兰政府相继于日本政府、荷兰政府相继于 2023 年年 5 月月 23 日、日、6 月月 30 日发布半导体相关出口日发布半导体相关出口管制措施。管制措施。受此影响,列入管制清单内的物项(日本为 6 大类 23 种半导体设备或物项,荷兰为 6 种半导体设备或物项以及相关的软件和技术)在对华出口时需要申请许可,日本、荷兰政策将分别于 7 月 23 日、9 月 1 日正式实施。我们认为我们认为荷兰荷兰 6.30 出口管制措施主要以限制先进制程为目的,主要针对出口管制措施主要以限制先进制程为目的,主要针对 16nm及以下制程。及以下制程。荷兰具体限制范围涉及光刻机、
4、ALD 原子层沉积、EPI 外延、等离子增强沉积等,主要受影响荷兰企业为 ASML 和 ASM International。管制涉管制涉及到的技术指标与对应设备型号有较为明确的指向性及到的技术指标与对应设备型号有较为明确的指向性,例如(1)光刻机方面限制 NXT:2000i、2050i 及后续型号,不影响 1980Di,主要限制通过多重图案化实现先进制程的能力;(2)限制 TiAlC 和功函数金属的 ALD 设备,影响 16nm HKMG 及更先进节点;(3)限制用于硅、硅锗的低温(685)高真空外延设备,可能影响部分先进制程节点;(4)限制金属间隙25nm 的低介电常数(Low k)电介质材
5、料的 PECVD,影响 3nm 及以下先进逻辑节点,而目前国内尚无相关量产产线。因此整体短期增量影响有限,但长期制约我国半导体节点迭代能力。我们认为日本我们认为日本 5.23 出口管制措施也针对先进制程,具体指标具有较强指向性。出口管制措施也针对先进制程,具体指标具有较强指向性。例如,(1)限制硅锗(SiGe)与硅(Si)的刻蚀选择性之比为 100 倍以上的各向同性干法刻蚀设备,是形成 3nm 以下 GAA 晶体管所必需的设备;(2)限制深宽度比超过 30:1 的干法刻蚀设备,主要为了限制 3D NAND Flash。(3)限制钴/钨等金属接触层的沉积设备,我们认为主要针对 10nm 以下先进
6、制程。而光刻胶等日本优势的半导体材料则未在限制行列之中。东京电子(TEL)、迪恩士(DNS)和尼康公司(Nikon)三家设备公司 2022 年报(财年截至当年 3.31),来自中国大陆客户的营收占比分别为 28.3%、26.2%、28.4%,均为其最大收入来源地区。我们预计在成熟制程相关设备后续仍有较大合作空间。我们预计在成熟制程相关设备后续仍有较大合作空间。荷兰荷兰 6.30 出口管制清单出口管制清单可看作可看作日本日本 5.23 出口管制清单的子集,重合部分的物项出口管制清单的子集,重合部分的物项说明存在极大相似度。说明存在极大相似度。荷兰的 6 种产品均在日本清单中可找到对应表述。部分环
7、节如浸没式 ArF 光刻机,荷兰进行了更加细致的指标说明,除分辨率45nm外,增加了 DCO 值1.5nm 的条件,将限制范围设定在 NXT:2000i 及以上的光刻机型。日本方面则是所有分辨率45nm 的机型均需要申请许可。但我们预期我们预期在在光刻机光刻机许可许可的实际判定尺度上,日本有望与荷兰保持一致。的实际判定尺度上,日本有望与荷兰保持一致。荷兰、日本出口管制主要压制了中国大陆半导体制造环节未来技术节点升级(走荷兰、日本出口管制主要压制了中国大陆半导体制造环节未来技术节点升级(走向向 7nm 及以下)的进程,而对于国内主流的成熟制程产线(及以下)的进程,而对于国内主流的成熟制程产线(2
8、8nm 及以上)扩及以上)扩产几乎无影响。产几乎无影响。这与美国 2022 年 10 月 7 日的出口管制目的相似。我们认为限制先进制程技术提供,同时保持成熟制程商业合作的“小院高墙”式管制策略“小院高墙”式管制策略将延续,保持技术代差或成为美国及盟友在相当一段时间内的高科技竞争策略。将延续,保持技术代差或成为美国及盟友在相当一段时间内的高科技竞争策略。对于国内而言,科技突围有赖于国内全产业链的配合协同,时下短板和竞争焦点越来越聚焦上游的设备、零部件、材料等环节,此领域具有极大的内生替代动力。同时上游创新必须紧密配套头部下游客户,建议关注中芯产业链、长存产业链、电子电子行业行业 评级评级 强于
9、大市(维持)强于大市(维持)电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 2 华为产业链等国内领先但受到实体清单影响的 Fab 厂和终端企业的积极变化。从管制清单可以归纳出日本及荷兰厂商当前占有领先地位的、受管制措施影响从管制清单可以归纳出日本及荷兰厂商当前占有领先地位的、受管制措施影响的相关领域,是国内未来有待突破的方向,的相关领域,是国内未来有待突破的方向,如:ArF 浸没式光刻机、EUV 光刻机以及配套涂胶显影/掩膜制造,SiGe 刻蚀、高深宽比刻蚀等高端刻蚀,金属及有机金属化合物 ALD、Si 和 SiGe 外延、低 k 介
10、质 PECVD、钨/钴/钼/钌等金属CVD/PVD 等高端薄膜沉积,晶圆表面改性后单片清洗等高端清洗等。(1)光刻领域,上海微电子(非上市)攻关国产光刻机,涂胶显影机领域芯源微领先,盛美上海亦有相关布局;(2)刻蚀设备领域,中微公司、北方华创各有所长;(3)薄膜沉积领域,拓荆科技、中微公司、北方华创、微导纳米、盛美上海在细分品类中积极开拓;(4)清洗设备领域,盛美上海领先,至纯科技、北方华创、芯源微均有布局。此外,量测、离子注入设备也是国产化率尚低、有待突破的领域,相关厂商包括精测电子、中科飞测,华海清科等。风险因素:风险因素:后续对华半导体技术限制超预期风险;国内先进技术创新不及预期;国际产
11、业环境变化和贸易摩擦加剧风险;先进制程技术变革风险;下游需求波动风险。投资策略投资策略:持续关注国内设备企业在“卡脖子”领域的新品布局和订单增量,建议关注国内头部设备企业如中微公司、拓荆科技、北方华创、盛美上海、芯源中微公司、拓荆科技、北方华创、盛美上海、芯源微、微导纳米、至纯科技、华海清科、精测电子、中科飞测微、微导纳米、至纯科技、华海清科、精测电子、中科飞测等。此外,考虑到设备配套零部件环节的国产化趋势,同时建议关注半导体设备零部件公司:富创富创精密、新莱应材、江丰电子、英杰电气精密、新莱应材、江丰电子、英杰电气等。重点公司盈利预测及投资评级重点公司盈利预测及投资评级 简称简称 代码代码
12、收盘价收盘价 EPS PE 评级评级 22 23E 24E 25E 22 23E 24E 25E 北方华创 002371.SZ 284.76 4.44 6.11 8.28 10.64 64 47 34 27 买入 中微公司 688012.SH 142.68 1.90 2.25 2.98 3.40 75 63 48 42 买入 富创精密 688409.SH 101.12 1.45 1.63 2.48 3.38 70 62 41 30 买入 盛美上海 688082.SH 103.20 1.54 1.83 2.17 2.65 67 56 48 39 买入 芯源微 688037.SH 151.80 0
13、.92 2.96 4.26 6.10 165 51 36 25 买入 资料来源:Wind,中信证券研究部预测 注:股价为 2023 年 7 月 12 日收盘价(货币单位:人民币)EZlWoUhVlYcVpNsQsRbRaOaQmOpPmOoNeRrRqPlOmOsPbRmNrRMYsRqMMYpPsM 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 3 目录目录 荷兰近期半导体出口管制清单分析荷兰近期半导体出口管制清单分析.4 日本近期半导体出口管制清单分析及对比日本近期半导体出口管制清单分析及对比.7 潜在突破方向梳理及投资策略潜在
14、突破方向梳理及投资策略.11 风险因素风险因素.13 附录:从荷兰、日本出口管制看光刻机发展附录:从荷兰、日本出口管制看光刻机发展.14 为何限制光刻机的套刻精度?.14 光刻机迭代历程.15 光刻机市场竞争格局.16 插图目录插图目录 图 1:先进逻辑制程路线图及最小金属间距(Min.MP)对应关系.7 图 2:特征尺寸与光刻工艺 k1 参数的对应关系示意图.14 图 3:光刻机发展历程示意图.16 图 4:2021 年全球光刻机市场份额.17 图 5:2021 年三大厂商各类光刻机销量.17 表格目录表格目录 表 1:荷兰半导体设备出口管制清单梳理(2023 年 6 月 30 日公布,9
15、月 1 日实施).4 表 2:ASML 典型浸没式 DUV 光刻机参数对比.5 表 3:常见金属及功函数对应表.6 表 4:日本半导体设备出口管制清单梳理(2023 年 5 月 23 日公布,7 月 23 日实施)(标黄部分代表与荷兰限制范围相似).7 表 5:Intel 10nm 后段内连接各层工艺参数.10 表 6:尼康典型浸没式 DUV 光刻机参数,及与 ASML 相关产品对比.11 表 7:各多重曝光技术工艺实际光刻精度.14 表 8:主流高端工艺多重曝光技术路径.15 表 9:各代光刻机详细情况.16 表 10:国内外主要厂商各类别典型光刻机产品对比.17 电子电子行业行业半导体设备
16、板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 4 荷兰荷兰近期近期半导体半导体出口管制出口管制清单分析清单分析 荷兰政府荷兰政府 2023 年年 6 月月 30 日发布出口管制,主要受影响荷兰企业为日发布出口管制,主要受影响荷兰企业为 ASML 和和 ASM International。列入清单内的物项在出口时需要申请许可,该出口管制措施的生效日期为2023 年 9 月 1 日。具体限制范围涉及光刻机、ALD 原子层沉积、EPI 外延、等离子增强沉积等。表 1:荷兰半导体设备出口管制清单梳理(2023 年 6 月 30 日公布,9 月 1 日实施)涉及涉及工
17、序工序 序序号号 代码代码 细分设备品类细分设备品类 具体工艺环节具体工艺环节/技术指标技术指标 荷兰代表厂商荷兰代表厂商 潜 在 国 产潜 在 国 产替代厂商替代厂商 光刻 1 3B001.l EUV 光 罩 护 膜(Pellicle)极紫外(EUV)光刻设备使用的光罩护膜(Pellicle)框架模组 ASML 无 2 3B001.m EUV 光罩护膜制造设备 极紫外(EUV)光刻设备使用的光罩护膜(Pellicle)的制造设备 无 3 3B001.f.4 光刻设备(EUV 及部分 DUV)步进重复或步进扫描光刻设备,具备以下一或两种特性:1.光源波长小于193nm(指EUV光刻机,波长13
18、.5nm);2.光源波长等于或大于 193nm(如 DUV 光刻机),同时满足 (a).可生成图案的最小可分辨特征尺寸(MRF,minimum resolvable feature size)为 45nm 及以下,且 (b).最大最大 DCO 值(值(dedicated chuck overlay)小小于等于于等于 1.5nm。技术说明:1.最小可分辨特征尺寸(MRF)根据以下公式计算:MRF=光刻机光源波长*K参数/最大数值孔径 其中 K 参数=0.25(MRF)与分辨率相同。2.DCO 是通过同一光刻系统在晶圆上曝光的现有图案上对准新图案的精确度。ASML(受影响包括NXT:2000i、2
19、050i及后续型号)上 海 微 电子 薄膜沉积 4 3B001.d.12 特定功函数金属的 ALD 设备 功函数金属的原子层沉积(ALD)设备 a.具备以下所有条件:1.多种金属源,其中一种已开发用于铝(Al)前驱体;并且 2.原料容器设计温度高于 45;并且 b.专为沉积具有以下所有特性的“功函数”金属而设计:1.沉积沉积碳化钛铝(碳化钛铝(TiAlC);以及;以及 2.“功函数”可能“功函数”可能高于高于 4.0eV 的金属。的金属。技术说明:1.“功函数金属”是一种调节晶体管阈值电压的材料 ASM International 拓荆科技、北方华创、微导纳米、中 微 公 司等 5 3B001
20、.a.4 部分外延生长设备(硅(Si)、碳掺 杂 硅、硅 锗(SiGe)或碳掺杂 SiGe)设计用于硅硅(Si)、碳掺、碳掺杂硅、硅锗杂硅、硅锗(SiGe)或碳掺杂或碳掺杂 SiGe 外延生长外延生长的设备,具备以下所有条件:1.多个腔室和在工艺步骤之间保持高真空(小于或等于高真空(小于或等于0.01Pa)或惰性气氛(水和氧分压小于 0.01Pa)的装置;2.至少一个预处理室,设计用于清洁晶片表面的表面处理;和 3.外延沉积工作温度工作温度685。ASM International(其 IntrepidES Epitaxy 外延设备涉及)中微公司、北 方 华 创等 6 3B001.d.19 等
21、离子增强沉积(Low K 电介质)在金属线之间的,宽度小于宽度小于 25 nm、深宽比(AR)1:1 的间隙的间隙中,使用无空隙的等离子增强等离子增强方法沉积介电常数介电常数小于小于 3.3 的的 Low K 电介质电介质的设备 ASM International(其 Dragon XP8 PECVD 设备涉及)拓 荆 科 技等 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 5 软件及技术 7 3D007 以上设备相关软件 专门为开发、生产或使用本法规标题 3B001.l、3B001.m、3B001.f.4、3B001.d.12、3
22、B001.a.4 或 3B001.d.19 规定的设备而设计的软件。8 3E005 以上设备相关技术 开发、生产或使用本法规标题 3B001.l、3B001.m、3B001.f.4、3B001.d.12、3B001.a.4 或 3B001.d.19 规定的设备所需的技术。资料来源:荷兰政府网站(https:/zoek.officielebekendmakingen.nl/stcrt-2023-18212.html),中信证券研究部 具体影响来看,【1、】EUV 光刻机、光刻机、EUV 光罩光罩护膜(护膜(EUV Pellicle)及相关生产设备及相关生产设备限制对中国限制对中国暂暂不存在增量影
23、响不存在增量影响,目前中国大陆尚无 EUV 光刻机。中芯国际曾于 2018 年向ASML 提交 EUV 光刻机采购单,但始终未获得交付。【2、】、】DUV 光刻机方面,光刻机方面,本次限制(a.)图案最小可分辨特征尺寸(MRF,minimum resolvable feature size)45nm及以下,且(b.)最大 DCO值(dedicated chuck overlay)小于等于1.5nm 的光刻设备。其中 DCO 值指通过同一光刻系统在晶圆上曝光的现有图案上对准新图案的精确度;ASML NXT:1980Di 光刻机的光刻机的 DCO 值小于等于值小于等于 1.6nm,不受影,不受影响
24、响,而,而 NXT:2000i 及后续机型及后续机型则则需申请许可需申请许可。ASML 浸没式浸没式 DUV 光刻机各个型号之间最大差异在于套刻精度和产出率光刻机各个型号之间最大差异在于套刻精度和产出率。在本次荷兰限制范围中,ASML 的浸没式 DUV 光刻机均满足最小分辨率小于等于 45nm 的条件,但其中 1980Di 及先前机型的 DCO(单机台套刻精度)最大值超过 1.5nm,不满足标准,因此未在限制行列,2000i、2050i 及未来后续型号由于 DCO 最大值小于 1.5nm,需申请许可。这与我们在 3 月 13 日外发报告电子行业半导体重大事项点评美、荷或将推出政策进一步限制对华
25、半导体设备出口,关注半导体国产化机遇中的预期一致。表 2:ASML 典型浸没式 DUV 光刻机参数对比 光刻机型号 最 小 可 分 辨 特 征 尺 寸(MRF)/nm(k1=0.25)*最 大 数 值 孔 径(NA)DCO套刻精度/nm 产 出 率(片/小时)NXT:2050i 38 1.35 1nm 295 NXT:2000i 1.4nm 275 NXT:1980Di 1.6nm 275 NXT:1970Ci 2.0nm 250 NXT:1950i 2.5nm 175 资料来源:ASML 官网,中信证券研究部 *注:红色表示受到 2023 年 6 月 30 日荷兰出口管制影响,绿色表示不受影
26、响 ASML 于于 2023 年年 6 月月 30 日发布公告日发布公告,称相关影响,称相关影响与与 3 月初月初公司公司所宣布的预期一致所宣布的预期一致:荷兰的额外出口管制仅适用于 TWINSCAN NXT:2000i 和后续浸入式系统;需要提醒的是,ASML 的 EUV 系统的销售此前已经受到限制;公司预计这些措施不会对公司发布的 2023 年财务前景或 2022 年 11 月投资者日期间传达的长期情景产生重大影响。【3、】原子层沉积(原子层沉积(ALD)设备)设备方面,主要影响方面,主要影响用于用于沉积碳化钛铝(沉积碳化钛铝(TiAlC)和功函)和功函数数高于高于 4.0eV 的的金属的
27、金属的 ALD 设备设备。功函数材料通常用于晶体管栅极,在 HKMG 中 TiAlC是调整栅极功函数的材料,28nm HKMG 尚可以采用 PVD 方法,但 16nm HKMG 需要使用 ALD。对于栅极长度低于 10nm 的极小尺寸器件,具有高功函数的单一材料栅极材料是实现高效器件性能的合适方法,此类材料亦通常采用 ALD 方法沉积。因此该限制主要因此该限制主要 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 6 影响影响 16nm 及以下先进制程。及以下先进制程。表 3:常见金属及功函数对应表 金属元素金属元素 功函数功函数 金属
28、元素金属元素 功函数功函数 金属元素金属元素 功函数功函数 Ag 4.26 4.74 Al 铝 4.06 4.26 As 3.75 Au 5.10 5.47 B 4.45 Ba 2.52 2.70 Be 4.98 Bi 4.31 C 5 Ca 2.87 Cd 4.08 Ce 2.9 Co 钴钴 5 Cr 4.5 Cs 1.95 Cu 4.53 5.10 Eu 2.5 Fe 4.67 4.81 Ga 4.32 Gd 2.9 Hf 3.9 Hg 4.475 In 4.09 Ir 5.00 5.67 K 2.29 La 3.5 Li 2.9 Lu 3.3 Mg 3.66 Mn 4.1 Mo 4.36
29、 4.95 Na 2.36 Nb 3.95 4.87 Nd 3.2 Ni 5.04 5.35 Os 5.93 Pb 4.25 Pd 5.22 5.60 Pt 5.12 5.93 Rb 2.261 Re 4.72 Rh 4.98 Ru 4.71 Sb 4.55 4.70 Sc 3.5 Se 5.9 Si 4.60 4.85 Sm 2.7 Sn 4.42 Sr 2.59 Ta 4.00 4.80 Tb 3 Te 4.95 Th 3.4 Ti 钛钛 4.33 Tl 3.84 U 3.63 3.90 V 4.3 W 钨钨 4.32 4.55 Y 3.1 Yb 2.6 Zn 3.63 4.9 Zr 4.
30、05 资料来源:CRC Handbook of Chemistry and Physics(version 2007-2008,David R.Lide,p.12124.),中信证券研究部 标黄为部分半导体常见金属材料【4、】用于硅用于硅(Si,包含碳掺杂),包含碳掺杂)、硅锗、硅锗(SiGe,包含碳掺杂),包含碳掺杂)的的低温低温(685)高真空高真空(0.01Pa)外延生长设备外延生长设备。传统的硅器件的外延反应采用高温 CVD(VPE 气相外延)系统,而低温高真空外延技术可以保证原子级的生长表面,防止引入缺陷和杂质,获得更好的生长表面,可以用于可以用于先进制程先进制程 CMOS 晶体管晶
31、体管(例如(例如 3nm GAA 晶体管)晶体管)的的沟沟道层及道层及源漏区域形成源漏区域形成以及以及先进的先进的 3D-NAND 和和 DRAM 存储芯片。存储芯片。我们认为此限制对国内我们认为此限制对国内现有产线现有产线的增量的增量影响也较小。影响也较小。【5、】窄间隙窄间隙填充、填充、低介电常低介电常数数(Low k)电电介介质质材料材料的的等离子增强沉积等离子增强沉积设备。Low K材料主要用于层间介质,减小寄生电容,从而减小 RC 信号延迟,提高器件的工作频率。由于出口管制只限制金属间隙宽度小于 25 nm 的情形,我们推测我们推测基本只适用于基本只适用于 3nm 及以及以下下先进先
32、进逻辑逻辑节点等节点等应用场景应用场景。目前中国大陆尚不具有 3nm 芯片量产能力。电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 7 图 1:先进逻辑制程路线图及最小金属间距(Min.MP)对应关系 资料来源:东京电子(含预测)注:2nm 后节点 14A(埃米)=1.4nm 整体来看,荷兰出口管制措施主要以限制先进制程为目的,整体来看,荷兰出口管制措施主要以限制先进制程为目的,主要针对主要针对 16nm 及以下制及以下制程。程。其中部分环节如 EUV 光刻机、3nm 级别 PECVD 设备等,目前国内尚无相关量产产线,因此短期增量影
33、响有限,但长期制约我国半导体量产能力上限。日本日本近期近期半导体出口管制半导体出口管制清单分析清单分析及对比及对比 2023 年年 5 月月 23 日,日本经济产业省发布省令,正式出台针对日,日本经济产业省发布省令,正式出台针对 6 大类大类 23 种半导体制种半导体制造设备或物项的出口管制措施造设备或物项的出口管制措施,并将于并将于 7 月月 23 日开始实施。日开始实施。在清单内的受管制物品在向中国大陆、俄罗斯等非白名单地区出口时,需要获得日本经济产业省的许可证。清单主要针对高端半导体制造设备,特别是聚焦了 ArF 浸没式光刻、EUV 配套设备及部件、钴/钨等金属沉积、高深宽比刻蚀和硅锗刻
34、蚀等技术指标较高、材料特殊、在先进制程有针对性应用的半导体设备品种,而光刻胶等日本优势的半导体材料则未在限制行列之中光刻胶等日本优势的半导体材料则未在限制行列之中。表 4:日本半导体设备出口管制清单梳理(2023 年 5 月 23 日公布,7 月 23 日实施)(标黄部分代表与荷兰限制范围相似)涉及工序涉及工序 序号序号 细分设备品类细分设备品类 具体工艺环节具体工艺环节/技术指标技术指标 日本代表厂商日本代表厂商 潜在国产替代潜在国产替代厂商厂商 光 刻(4种)1 ArF 浸没式光刻机 步进重复法或步进扫描型,光源的波长为 193nm 或更大,以纳米表示的光源波长乘以 0.25 并除以数值孔
35、径数的数值(即光刻分辨率)为小于等于(即光刻分辨率)为小于等于 45nm(即(即浸没式浸没式 ArF 光刻机)光刻机)尼康、佳能 上海微电子(未上市)2 EUV 涂胶显影设备 极紫外(极紫外(EUV)光刻所使用的光刻胶涂胶、成膜、加热、显影设备 东京电子、迪恩士 芯源微、盛美上海、至纯科技 3 EUV 光罩护膜 极紫外(极紫外(EUV)光刻设备使用的光罩护膜(Pellicle)框架模组 三井化学 无 4 EUV 光罩护膜制造设备 极紫外(极紫外(EUV)光刻设备使用的光罩护膜(Pellicle)的制造设备 无 刻 蚀(3种)5 干法刻蚀(硅锗等)各向同性干法刻蚀设备,硅锗(硅锗(SiGe)与硅
36、()与硅(Si)的刻蚀选择性之比为选择性之比为 100 倍以上倍以上 东京电子、日立高新 中微公司、北方华创、屹唐股份 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 8 涉及工序涉及工序 序号序号 细分设备品类细分设备品类 具体工艺环节具体工艺环节/技术指标技术指标 日本代表厂商日本代表厂商 潜在国产替代潜在国产替代厂商厂商 各向异性干法刻蚀设备,符合以下所有条件:(1)具有一个或多个高频脉冲输出电源的电源;(2)具有一个或多个切换时间小于小于 300ms 的高速的高速气体切换阀气体切换阀;(3)具有静电卡盘(仅限于具有具有 20
37、个或更多可单个或更多可单独控制温度的区域的静电卡盘独控制温度的区域的静电卡盘)。(未上市)6 湿法刻蚀(硅锗)硅锗(硅锗(SiGe)与硅()与硅(Si)的蚀刻选择性之比为 100倍以上 东京电子、日立高新 盛美上海、芯源微 7 高深宽比干法刻蚀 各向异性刻蚀设备,其中介电材料的刻蚀介电材料的刻蚀深度与宽度深度与宽度比值超过比值超过 30 倍倍,宽度尺寸小于 100nm。且(1)具有一个或多个高频脉冲输出电源的电源;(2)具有一个或多个切换时间小于小于 300ms 的高速的高速气体切换阀气体切换阀。东京电子、日立高新 中微公司、北方华创 薄 膜 沉 积(11 种)8 电镀(钴)钴(钴(Co)电镀
38、)电镀薄膜设备 盛美上海 金 属Contact层CVD(钴或者钨等)自下而上沉积钴(钴(Co)或钨()或钨(W)的化学气相沉积)的化学气相沉积设备,填充的金属空隙或接缝最大尺寸为 3nm 或以下 东京电子 中微公司、拓荆科技、北方华创、微导纳米 一种用于在单一腔室(Chamber)中通过多道工艺沉积金属接触层(Contact)的设备,并且符合以下所有条件:(1)在晶片的基板温度保持在 100500的同时,使用有机金属化合物沉积钨(钨(W)层)层;(2)使用氢气(含有氢气与氮气或氨的混合物)等离子体的工艺;东京电子 中微公司、拓荆科技、北方华创、微导纳米 其设计成通过在多个腔室或工位中通过多个步
39、骤形成薄膜,并在多个过程间保持 0.01 Pa 或更小的真空状态或惰性环境,并通过以下所有过程形成金属接触金属接触层(层(Contact)的:(1)在将晶圆衬底温度保持在 100500的同时,使用氢气(包括氢气和氮气或氨的混合物)等离子体进行表面处理;(2)在将晶圆基板温度保持在 40500的同时,使用氧气或臭氧等离子体进行表面处理;(3)在将晶圆基板温度保持在 100500的同时,形成钨层形成钨层的工艺。东京电子 中微公司、拓荆科技、北方华创、微导纳米 在指定的半导体制造设备中,通过以下所有工艺设计为形成金属接触层(金属接触层(Contact)的设备(不包括属于(2)的设备):(1)使用远程
40、等离子体源和离子过滤器进行表面处理的工艺;(2)使用有机金属化合物选择性地在铜上沉积钴层在铜上沉积钴层的工艺;东京电子 中微公司、拓荆科技、北方华创、微导纳米 ALD(钛碳化铝)符合下列所有项的功函数金属功函数金属(指控制晶体管阈值电压的材料):(1)在两种或两种以上的金属源中,具有一种或多种为铝前体设计的金属源;并具有设计在 45以上温度下操作的前体容器;(2)为形成功函数金属薄膜而设计的设备,用于沉积钛碳化铝且功函数大于 4.0 eV。东京电子 拓荆科技、北方华创、微导纳米、中微公司 金属 Contact 层沉积(氮化钛/碳化钨+钴等)在特定半导体制造设备中,通过下列列举的全部工艺使金属接
41、触层(Contact)成膜而设计的设备:(1)将晶圆衬底温度维持在 20500的同时,使用有机金属化合物形成氮化钛或碳化钨层的工艺;(2)将晶圆衬底温度维持在 500以下的同时,在0.1333Pa13.33Pa 的压力中下溅射形成钴层的工东京电子 中微公司 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 9 涉及工序涉及工序 序号序号 细分设备品类细分设备品类 具体工艺环节具体工艺环节/技术指标技术指标 日本代表厂商日本代表厂商 潜在国产替代潜在国产替代厂商厂商 艺;(3)将晶圆衬底温度维持在 20500的同时,在 133.3Pa13
42、.33kPa 的压力下使用有机金属化合物形成钴层的工艺。CVD/PVD(铜+钴/钌)在特定半导体制造设备中,通过下列列举的全部工艺形成铜线路的设备:(1)将晶圆衬底温度维持在 20500的同时,在 133.3Pa13.33kPa 的压力下使用有机金属化合物形成钴或钌层的工艺;(2)在维持晶圆衬底温度低于 500的同时,在0.1333Pa13.33Pa 的压力下使用物理气相沉积法形成铜层。东京电子、爱发科 拓荆科技、北方华创、微导纳米、中微公司 ALD(阻挡层、绝缘层)为使用有机金属化合物选择性地形成阻挡层(Barrier)或者线性层(Liner)的原子层沉积设备 东京电子 拓荆科技、北方华创、
43、微导纳米、中微公司 在维持晶圆衬底温度小于 500的同时,设计为在绝缘层与绝缘层的间隙(深度相对于宽度的比率超过 5倍且该宽度小于 40nm)中填充钨或钴以不产生空隙的原子层沉积设备。东京电子 拓荆科技、北方华创、微导纳米、中微公司 9 LPCVD(氮化钨+钨)设计用于在 0.01 Pa 或更低的真空状态下或在惰性气体环境中沉积金属层的装置,符合以下所有情况:(1)通过化学气相沉积或周期性沉积形成氮化钨层,同时保持晶圆基板温度在 20500之间;(2)在 133.3Pa 和 53.33kPa 之间的压力环境中,通过化学气相沉积或周期性沉积形成钨层,同时保持晶圆基板温度在 20500之间。东京电
44、子 拓荆科技、北方华创、微导纳米、中微公司 10 LPCVD(钨、钼)设计用于在 0.01 Pa 或更低的真空状态下或在惰性气体环境中沉积金属层的装置,属于以下任何一种:(1)不使用阻挡层(不使用阻挡层(Barrier)选择性生长钨)选择性生长钨的;(2)不使用阻挡层(Barrier)选择性生长钼的。东京电子 拓荆科技、北方华创、微导纳米、中微公司 11 钌沉积 设计用于使用有机金属化合物沉积钌层沉积钌层的设备,同时将晶圆基板温度保持在 20500之间 东京电子 中微公司 12 空间式 ALD 符合以下任意一项的空间原子层沉积设备(仅限于带有旋转轴的晶圆支撑台):(1)通过等离子体形成原子层;
45、(2)具有等离子原;(3)在等离子照射区域设有等离子屏蔽罩或限制等离子的设备。东京电子 拓荆科技、北方华创、微导纳米、中微公司 13 CVD(硅和碳薄膜)在 400650的温度下形成薄膜的装置或通过促进与在不同于安装晶圆的空间中产生的自由基的化学反应而形成薄膜的设备,并且设计为符合以下所有项的形成含有硅和碳薄膜的设备:(1)介电常数小于 5.3;(2)水平开孔尺寸小于 70nm,深度相比于该尺寸的比率超过 5 倍的图案;(3)图案间距(Pitch)小于 100nm 的结构。东京电子 拓荆科技、北方华创、微导纳米、中微公司 14 PVD(EUV 用的多层反射膜)为用于掩膜的多层反射膜通过离子束蒸
46、镀或物理气相沉积法形成膜的设备(仅限用于“EUV 光刻设备”的掩膜)东京电子、爱发科 北方华创 15 EPI(硅、硅锗)为硅(包括碳掺杂)或硅锗(包括碳掺杂)的外延生长而设计的设备,并具有以下所有特性:(1)拥有多个腔室且在多个工序间能够维持在0.01Pa 以下的真空状态或水氧分压小于 0.01Pa 的惰性环境;(2)具有一个或多个设计用于清洁晶圆表面的腔室;东京电子 中微公司、北方华创 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 10 涉及工序涉及工序 序号序号 细分设备品类细分设备品类 具体工艺环节具体工艺环节/技术指标技术指
47、标 日本代表厂商日本代表厂商 潜在国产替代潜在国产替代厂商厂商(3)外延生长使用温度低于 685。16 PECVD(碳硬掩膜)为厚度大于 100nm和应力小于450MPa的碳硬掩膜的等离子体沉积而设计的设备;东京电子 拓荆科技、北方华创、微导纳米、中微公司 17 PEALD/PECVD(钨)设计为通过利用等离子体的原子层沉积法或化学气相沉积法沉积钨薄膜的设备(氟原子数小于 1019 个/cm2)。东京电子 拓荆科技、北方华创、微导纳米、中微公司 18 PECVD(Low-k 介质)金属配线的间隙(仅限于宽度小于 25nm 且深度大于50nm 的间隙),以不产生空隙的方式使用等离子体成膜相对介电
48、常数小于 3.3 的低介电层的设备。东京电子 拓荆科技、北方华创、微导纳米、中微公司 热处理(1种)19 真空退火(铜、钴、钨)在 0.01Pa以下的真空状态下运行且属于以下任何一项的退火设备:(1)通过进行铜回流,最大限度地减少或消除铜配线中的空隙或接缝;(2)可以通过回流钴或钨填充金属来最大限度地减少或消除空隙或接缝;东京电子、日立高新、日立国际电气 北方华创、盛美上海、屹唐股份(未上市)清 洗(3种)20 铜氧化膜清洗 在 0.01Pa 或更小的真空中,去除聚合物残留物和氧化铜膜,并能够形成铜膜的设备;迪恩士、东京电子、日立国际电气 盛美上海、至纯科技、北方华创、芯源微 21 腔室间干法
49、清洗氧化物 具有多个腔室或工序间,通过干法工艺进行除去表面氧化物的预处理,或者通过干法工艺除去表面的污染物。迪恩士、东京电子、日立国际电气 盛美上海、至纯科技、北方华创、芯源微 22 晶圆表面改性后单片湿法清洗 在晶片表面改性后进行干燥工序的单片式湿式清洗设备 迪恩士、东京电子 盛美上海、至纯科技、北方华创、芯源微 检 测(1种)23 EUV 掩膜版检测 用于检测“EUV 光刻设备”的掩膜坯料或掩膜图案的设备 Lasertec、日立高新 精测电子、中科飞测 资料来源:日本经济产业省官网(https:/www.meti.go.jp/policy/anpo/law09-2.html#230523)
50、,中信证券研究部 注:标黄部分代表与荷兰 6.30管制限制范围相似 日本出口管制物项清单虽未明确说明设备对应的制程节点,但技术指标、应用于具体日本出口管制物项清单虽未明确说明设备对应的制程节点,但技术指标、应用于具体材料和工艺的描述均具有较强的针对性,主要面向与先进制程相关的设备品种。材料和工艺的描述均具有较强的针对性,主要面向与先进制程相关的设备品种。例如:针对刻蚀领域,针对刻蚀领域,限制硅锗(SiGe)与硅(Si)的刻蚀选择性之比为 100 倍以上的各向同性干法刻蚀设备(列表第 5 项),可从 Si 和 SiGe 的叠层膜中去除 SiGe,是形成 3nm以下以下 GAA 晶体晶体管管所必需
51、的设备。限制高深宽比干法刻蚀设备,介电材料的刻蚀深宽度比超过 30:1(列表第 7 项),主要为了限制 3D NAND Flash。针对薄膜沉积领域针对薄膜沉积领域,日本管制清单花较大篇幅描述钴/钨等金属接触层的相关沉积设备,我们认为主要也是针对我们认为主要也是针对 10nm 以下先进制程以下先进制程。特征尺寸在 10nm 以下时,钴(Co)较铜、钨更具优势,英特尔在 10nm 节点的 Contact 层和 M1 金属层首次采用了金属钴。表 5:Intel 10nm 后段内连接各层工艺参数 金属层金属层 间距间距 Pitch(nm)光刻方法光刻方法 金属材料金属材料 M0 40 SAQP-un
52、idirectional 钴钴 Co M1 36 SAQP-unidirectional 钴钴 Co M3/M4 44 SAQP-unidirectional 铜、钴并用(Cu with Co liner and cap)电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 11 M5 52 SAQP-unidirectional 铜、钴并用(Cu with Co liner and cap)M6 84 Single-bidrectional 铜 Cu M7/M8 112 Single-bidrectional 铜 Cu M9/M10 16
53、0 Single-bidrectional 铜 Cu TMO 1080 Single-bidrectional 铜 Cu TM1 11000 Single-bidrectional 铜 Cu 资料来源:Intel(IEDM 2017),中信证券研究部 荷兰荷兰 6.30 出口管制清单出口管制清单基本基本可看作可看作日本日本 5.23 出口管制清单出口管制清单的子集,的子集,重合重合部分的部分的物项物项说明说明存在极大相似度。存在极大相似度。荷兰限制了两大类领域的 6 种产品(光刻机、光刻配套光罩护膜及生产设备、三种薄膜沉积,不考虑配套软件和技术),日本则限制了六大类 23 种产品,荷兰的 6
54、种产品均在日本清单中可找到对应表述。部分环节如浸没式 ArF 光刻机,荷兰进行了更加细致的指标说明,除分辨率在 45nm 以下外,增加了 DCO 值1.5nm 的条件,将限制范围设定在 NXT:2000i 及以上的光刻机型。日本方面则是所有分辨率在 45nm 以下的机型均需要申请许可。但我们预期在但我们预期在光刻机光刻机许可许可的实际判定尺度上,日本有望与荷兰保持的实际判定尺度上,日本有望与荷兰保持一致一致。表 6:尼康典型浸没式 DUV 光刻机参数,及与 ASML 相关产品对比 光刻机型号光刻机型号 最 小 可 分 辨 特 征 尺 寸最 小 可 分 辨 特 征 尺 寸(MRF)/nm(k1=
55、0.25)*最大数值孔径最大数值孔径(NA)DCO套 刻 精 度套 刻 精 度/nm 产出率产出率(片片/小时小时)Nikon NSR-S635E 38 1.35 1.5 275 NSR-S625E 1.7 280 NSR-S622D 2.0 220 ASML NXT:2050i 38 1.35 1.0 295 NXT:2000i 1.4 275 NXT:1980Di 1.6 275 NXT:1970Ci 2.0 250 资料来源:Nikon 官网,ASML 官网,中信证券研究部 中国大陆为日本主要半导体设备厂商的重要收入来源地区之一,中国大陆为日本主要半导体设备厂商的重要收入来源地区之一,成
56、熟制程相关我们预成熟制程相关我们预计正常合作计正常合作。以日本半导体设备公司东京电子(TEL)、迪恩士(DNS)和尼康公司(Nikon)为例,根据三家公司 2022 年报(2021.4.1-2022.3.31),来自中国大陆客户的营收占比分别为 28.3%、26.2%、28.4%,均为其最大收入来源地区。本次出口限制主要针对先进半导体制造。否则,若日本对华成熟芯片相关的半导体设备也实际施加限制,则将会影响日本相关设备公司的营收,长期削弱日本在半导体设备领域的份额优势,同时中国本土技术生态链有望在重压下加速本土替代。潜在突破方向梳理及潜在突破方向梳理及投资策略投资策略 荷兰、日本出口管制主要压制
57、了中国大陆半导体制造环节未来技术节点升级(走向荷兰、日本出口管制主要压制了中国大陆半导体制造环节未来技术节点升级(走向7nm 及以下)的进程,而对于国内主流的成熟制程产线及以下)的进程,而对于国内主流的成熟制程产线(28nm 及以上)及以上)扩产扩产几乎无几乎无影响。影响。这与美国 2022 年 10 月 7 日的出口管制目的相似。我们认为限制先进制程技术提供,同时保持成熟制程商业合作的“小院高墙”式管制策略将延续,保持技术代差或成为美国及盟“小院高墙”式管制策略将延续,保持技术代差或成为美国及盟友在相当一段时间内的高科技竞争策略友在相当一段时间内的高科技竞争策略。对于国内而言,科技突围有赖于
58、国内全产业链的 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 12 配合协同,时下短板和竞争焦点越来越聚焦聚焦上游上游的设备、零部件、材料等的设备、零部件、材料等环节环节,此领域具有极大的内生替代动力。同时上游创新必须紧密配套头部下游客户,建议关注中芯产业链、长存产业链、华为产业链等国内领先但受到实体清单影响的 Fab 厂和终端企业的积极变化。从管制清单可以归纳出从管制清单可以归纳出日本及荷兰厂商日本及荷兰厂商当前当前占有领先地位的占有领先地位的、受受管制措施影响的管制措施影响的相关相关领域领域,是,是国内国内未来有待突破的方向未来
59、有待突破的方向,如:ArF 浸没式光刻机、EUV 光刻机、以及配套涂胶显影/掩膜制造,SiGe 刻蚀、高深宽比刻蚀等高端刻蚀,金属及有机金属化合物 ALD、Si和 SiGe 外延、低 k 介质 PECVD、钨/钴/钼/钌等金属 CVD/PVD 等高端薄膜沉积,晶圆表面改性后单片清洗等高端清洗等。国内企业方面:国内企业方面:1)光刻相关:光刻相关:ArF 浸没式光刻机、浸没式光刻机、EUV 光刻机以及配套涂胶显影光刻机以及配套涂胶显影/掩膜制造掩膜制造检测设备检测设备。国内光刻机整机厂商为上海微电子(非上市),目前已经量产分辨率 90nm 的 SSA600/20型号 ArF 光刻机;涂胶显影机方
60、面,芯源微芯源微领先,公司已完成 28nm 及以上工艺节点覆盖,盛美上海盛美上海亦有布局,已推出 ArF 和 i-line 型号设备,着手研发 KrF 型号设备。2)刻蚀设备:刻蚀设备:高深宽比刻蚀高深宽比刻蚀、SiGe 选择性选择性刻蚀刻蚀等高端刻蚀等高端刻蚀。中微公司中微公司在国内刻蚀设备领域布局领先,已研发高深宽比刻蚀设备,Primo HD-RIE型号在 3D-NAND 及 DRAM中高深宽比沟槽及深孔刻蚀上表现优异;北方华创北方华创亦有相关刻蚀领域布局。SiGe 选择性刻蚀用于 3nm 后 GAA 晶体管,我们暂未了解到国内相关布局。3)薄膜沉积:薄膜沉积:金属及有机金属化合物金属及有
61、机金属化合物 ALD、Si 和和 SiGe 外延、低外延、低 k 介质介质 PECVD、钨钨/钴钴/钼钼/钌等金属钌等金属 CVD/PVD 等高端薄膜沉积等高端薄膜沉积。国内薄膜沉积领域拓荆科技拓荆科技布局领先,PECVD 产品可用于部分低 k 介质等十余种工艺环节,同时在 ALD 方面已量产 SiO2 等介质薄膜工艺 PE-ALD、可用于 Al2O3 等金属化合物薄膜工艺的 Thermal-ALD 在客户端验证。中微公司中微公司在金属 CVD 和 ALD 设备积极布局,钨(W)CVD 设备已付运至关键存储客户端验证(产品立项到客户产线核准用时仅 18 个月);用于高端存储和逻辑器件的氮化钛(
62、TiN)ALD 设备进入实验室测试阶段;公司组建了 EPI 外延设备团队,SiGe 外延设备进入样机设计、制造调试阶段。北方华创北方华创在 PVD、CVD(LPCVD、APCVD)、ALD 均有布局,其中 PVD 领域国内领先,2022 年公司成立了 PECVD 事业部进行相关研发。微导纳微导纳米米在 ALD 方面布局较为集中,可用于逻辑和存储芯片的高 k 栅极介质层等工艺环节,同时PECVD 产品可用于 SiO2 等多种薄膜。盛美盛美上海上海在 2022 年推出的 PECVD 设备可以用于SiO2,SiNx,Carbon,NDC(SiCN)等薄膜工艺。4)清洗设备:清洗设备:晶圆表面改性后单
63、片清洗等高端清洗晶圆表面改性后单片清洗等高端清洗。国内清洗设备方面,盛美上海为龙头企业,在先进制程相关高端产品布局领先,此外至纯科技、北方华创、芯源微在清洗机领域均有布局。此外,量测、离子注入设备量测、离子注入设备领域也是国产化率尚低、有待突破的领域,建议关注精测电子、中科飞测,华海清科等。建议关注国内头部设备企业如中微公司、拓荆科技、北方华创、盛美上海、芯源微、中微公司、拓荆科技、北方华创、盛美上海、芯源微、微导纳米、至纯科技、华海清科、精测电子、中科飞测微导纳米、至纯科技、华海清科、精测电子、中科飞测等。此外,考虑到设备配套零部件 电子电子行业行业半导体设备板块专题半导体设备板块专题202
64、3.7.17 请务必阅读正文之后的免责条款和声明 13 环节的国产化趋势,同时建议关注半导体设备零部件公司:富创精密、富创精密、新莱应材、江丰电新莱应材、江丰电子、英杰电气子、英杰电气等。风险因素风险因素 后续对华半导体技术限制超预期风险;国内先进技术创新不及预期风险;国际产业环境变化和贸易摩擦加剧风险;先进制程技术变革风险;下游需求波动风险。电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 14 附录:附录:从从荷兰荷兰、日本日本出口管制看出口管制看光刻机发展光刻机发展 为何限制光刻机的套刻精度?为何限制光刻机的套刻精度?荷兰出口管制
65、对于浸没式ArF光刻机限制了最大 DCO值(dedicated chuck overlay)小于等于 1.5nm,其中 DCO 值指通过同一光刻系统在晶圆上曝光的现有图案上对准新图案的精确度,即单机台的套刻精度。光刻机套刻精度的改善有利于光刻机套刻精度的改善有利于通过多重图案化通过多重图案化实现更精细的特征尺寸。实现更精细的特征尺寸。根据瑞利判据公式,特征尺寸(Critical Dimension)CD=k1*/NA,其中浸没式 ArF 光刻机的光波长=193nm,数值孔径 NA=1.35,而 k1 作为关键工艺参数影响了 CD 的水平。解析度增强技术(RET)以及光学临近效应矫正(OPC)的
66、采用使得光刻 k1 参数可以达到 0.20.6区间,而采用多重图案化后,k1 参数可进一步降至 0.2 以下,从而使特征尺寸进一步向下推进。在多重图案化技术中,最重要的是套刻精度,多套图形必须非常精确地对准以避免电路错误,而光刻机套刻精度的改善则有利于实现多重图案化光刻机套刻精度的改善则有利于实现多重图案化,从而实现更小的特征尺寸,从而实现更小的特征尺寸。此外高对比度非线性光刻胶、合理的掩膜设计亦是多重图案化实现的关键。图 2:特征尺寸与光刻工艺 k1 参数的对应关系示意图 资料来源:IMEC(比利时微电子研究中心),中信证券研究部 通过浸没式通过浸没式 ArF 光刻机的四重图案化可以实现光刻
67、机的四重图案化可以实现 7nm。7nm 节点出现两条技术路径:浸没式 ArF 光刻+SAQP(自对准四重图案化)或 EUV 单次图案化。其中前者被 Intel 10nm和台积电初代 7nm 采用,后者被台积电第二代 7nm 采用。7nm 以后,四重以上图案化的以后,四重以上图案化的工艺实现变得非常困难,工艺实现变得非常困难,EUV 光刻机的采用成为主流。光刻机的采用成为主流。表 7:各多重曝光技术工艺实际光刻精度 技术方案技术方案 SE/LE LELE LELELE SADP SAQP SE(EUV)极限光刻分辨率(nm)80 64 42 40 20 26 最小金属间距 MMP(nm)160
68、128 84 80 40 52 资料来源:Intel、三星、台积电公司官网,中信证券研究部 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 15 表 8:主流高端工艺多重曝光技术路径 工艺节点工艺节点 最小金属间距最小金属间距 MMP(nm)光刻方案光刻方案 Fin Pitch(nm)Intel 10nm 36 SAQP 34 Samsung 5LPE 36 EUV 27 Samsung 7LPP 36 EUV 27 TSMC N7+40 EUV 30 TSMC N7/P 40 SAQP 30 TSMC N10 44 SAQP 36
69、Samsung 10LPP/8LPP 48 LELELE 42 Intel 14nm 52 SADP 42 Samsung 14LPP 48 LELE 64 TSMC N16 64 SADP 48 TSMC N20 64 SADP 48 lntel 22nm 80 SADP 60 lntel 22FFL 90 SADP 45 TSMC N28 90 SADP N/A Intel 32nm 112.5 SADP N/A lntel 45nm 160 SE N/A lntel 65nm 210 SE N/A 资料来源:Intel、三星、台积电公司官网,中信证券研究部 光刻机迭代历程光刻机迭代历程
70、光刻机历经光刻机历经 5 次迭代,已发展至次迭代,已发展至 EUV 光刻机。光刻机。光刻机在商用场景主要为有掩膜光刻机,按照光源波长进行划分:第一第一、二代为二代为 UV 型,均为接触接近式光刻机,型,均为接触接近式光刻机,使用光源分别为 436nm 的 g-line 和 365nm 的 i-line,对应 800-250nm 工艺。第三代为扫描投影式光第三代为扫描投影式光刻机,刻机,采用 248nm 的 KrF 光源,对应 180-130nm 工艺。第四代为第四代为 DUV 型,型,采用 193nm的 ArF 光源,分步进扫描投影式光刻机(干式)和浸没式步进扫描投影式光刻机(浸没式),分别对
71、应 130-65nm 和 45-7nm 工艺(7nm 需经过多重曝光实现)。第五代发展至第五代发展至 EUV 型,型,为极紫外光刻机,为极紫外光刻机,采用 13.5nm 的 EUV 光源,对应 7nm 及以下工艺,预计 2024 年 ASML将推出高数值孔径 0.55NA 的 EUV 光刻机(目前为 0.33NA),分辨率将提升至 8nm,可以对更高制程工艺提供支持。此外还存在少量无掩模光刻机,包括电子束直写光刻机、激光直写光刻机、离子束直写光刻机等,主要用于小批量特定芯片制造、科学研究等用途。电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款
72、和声明 16 表 9:各代光刻机详细情况 光刻机代际光刻机代际 光源光源 光源发生器光源发生器 波长波长 曝光方式曝光方式 光刻分辨率(光刻分辨率(CD)工艺节点工艺节点 第一代 可见光 g-line 高压汞灯 436nm 步进扫描投影式光刻(最初为接触/接近式光刻)250nm 以上 800-250nm 第二代 UV i-line 高压汞灯 365nm 步进扫描投影式光刻(最初为接触/接近式光刻)220-500nm 800-250nm 第三代 DUV KrF 准分子激光器 248nm 步进扫描投影式光刻 80-150nm 180-130nm 第四代 ArF 准分子激光器 193nm 步进扫描投
73、影式光刻 57-90nm 130-65nm 准分子激光器 浸没式步进扫描投影式光刻 38nm 45-7nm 第五代 EUV EUV 激发光源 13.5nm 极紫外一体式光刻 13-22nm 22-3nm 资料来源:ASML 官网,华经产业研究院,中信证券研究部 图 3:光刻机发展历程示意图 资料来源:OfWeek 光刻机光刻机市场市场竞争格局竞争格局 全球主要光刻机市场全球主要光刻机市场由三家荷、日企业由三家荷、日企业垄断垄断,ASML 高端高端全面全面,尼康,尼康跟进跟进中端中端,佳能,佳能深耕深耕低低端端。根据中商产业研究院数据,2021 年 ASML、Canon、Nikon 在全球光刻机
74、市场中的份额分别为 65.4%、8.4%、8.6%,其他厂商份额为 17.65%,ASML 绝对领先。在EUV 领域,ASML 拥有 100%份额,掌握绝对核心技术,目前为满产满销状态;在 ArF 领域,尼康有少量出货,正致力于在 ArF Im 领域追赶 ASML;在低阶的 KrF 和 i-line 领域,佳能占据较多份额,并已放弃尖端光刻机研发,专攻低阶市场。电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 17 图 4:2021 年全球光刻机市场份额 图 5:2021 年三大厂商各类光刻机销量 资料来源:中商产业研究院,中信证券研究
75、部 资料来源:中商产业研究院,中信证券研究部 表 10:国内外主要厂商各类别典型光刻机产品对比 光刻机代际 曝光光源 曝 光方式 公司 型号 最小分辨 率/nm 最大数值孔径 圆 片 尺寸/mm 套刻精度/nm(SMO/MMO)产 出 率(片/小时)首次推出年份 第五代 EUV 13.5nm 极 紫外 曝光 ASML EXE5000/5200 8 0.55 300 NA/1.1 150 20232024 NXE3800E 13 0.33 NA/1.1 195-220 2023 NXE3600D 13 0.33 NA/1.1nm 160 2021 NXE3400C 13 1.4/1.5 135-
76、145 2017 NXE3300B 22 NA/3 125 2013 第四代 ArF 准分子激光器193nm 浸 没式 步进 扫描 曝光 ASML NXT2100i 38 1.35 300 0.8/1.3 295 2022 NXT2050i 1.0/1.5 295 2020 NXT2000i 1.4/2.0 275 2018 NXT1980Ei 1.6/2.5 295 2021 NXT1980Di 1.6/2.5 275 2015 NXT1970Ci 2.0/3.5 250 2013 NXT1950i 2.5/NA 175 2008 尼康 NSR-S635E 200/300 1.5/2.1 2
77、75 2017 NSR-S631E 1.7/2.3 250-270 2016 NSR-S625E 1.7/2.5 280 2024 NSR-S622D 2.0/3.5 220 2013 NSR-S621D 2.0/NA 200 2012 干 式步 进扫 描曝光 ASML NXT1470 57 0.93 300 NA/4.0 300 2020 XT1460K 57 3.5/5.0 205 2016 XT1450H 5.0 162 2006 ASML PAS5500/1150C 90 0.75 200 12/20 135 1991 尼康 NSR-S322F 65 0.92 200/300 2.0/
78、5.0 230 2014 上海微电子 SSA600/20 90 0.75 20 80/135 2018 第三代 KrF 准分子激光器步 进扫 描曝光 ASML XT1060K 80 0.93 300 3.5/5.0 205 NA XT1000K NA/6 180 NXT870 110 0.80 NA/7.5 330 65.4%8.6%8.4%17.6%ASMLNikonCanon其他33534150200i-lineKrFArf DryArF ImEUVASMLNikonCanon 电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.1
79、7 请务必阅读正文之后的免责条款和声明 18 248nm XT860N 0.80 200/300 NA/7.5 260 XT860M 300 NA/12 240-250 XT860K NA/12 210 PAS5500/850D 110 0.80 200 15 145 尼康 NSR-S220D 110 0.82 200/300 3.0/6.0 230 2017 NSR-S210D 0.82 9 176 佳能 FPA-6300 ES6a 90 0.86 8 200 NA FPA-6300ESW 130 0.70 9-FPA-3030EX6 150-25-上海微电子 SSC600/10 110-N
80、A 第二代 i-line 高压汞灯光源365nm 步 进扫 描曝光 ASML XT400L 220 0.65 200/300 NA/20 230 NA XT400K 200/300 NA/35 220 PAS5500/450F 220 0.65 200 NA/25 150 尼康 NSR-SF155 280 0.62 200 25 200 2007 佳能 FPA-5550iZ2 350 0.57-15/20-NA FPA-5510ix 500 0.37-50-FPA-3030i5 350 0.63-40-上海微电子 SSB600/10 280-120/800-NA 资料来源:各公司官网,中信证券
81、研究部 注:标黄表示较上一代主要升级点;SMO(Single Machine Overlay)表示单机台内套刻精度,MMO(Matched Machine Overlay)为同一机型的不同机台间套刻精度;NA 代表暂无数据。电子电子行业行业半导体设备板块专题半导体设备板块专题2023.7.17 请务必阅读正文之后的免责条款和声明 19 相关研究相关研究 电子行业半导体设备跟踪点评SEMICON China 火热开展,建议关注设备零部件品类扩张逻辑(2023-07-10)半导体行业重大事项点评从原厂复苏节奏看国产存储发展空间(2023-07-04)模拟芯片系列深度报告之(二)AI 应用拉动长期需
82、求扩张,多相电源国产替代正当时(2023-06-13)电子行业 VRAR 行业跟踪点评苹果发布 Apple Vision Pro,开启空间计算新时代(2023-06-06)电子行业科技安全专题半导体产业链安全浅析及投资策略(PPT)(2023-06-02)电子行业专题从周期及国产化看存储行业投资机遇(2023-06-02)电子行业专题消费电子板块复苏与创新展望(PPT)(2023-06-01)电子行业半导体重大事项点评日本半导体设备出口限制正式公告,长期带动国产化加速(2023-05-24)半导体行业重大事项点评从美光受审结果看国产存储发展空间(2023-05-22)电子行业半导体板块跟踪点评
83、持续看好半导体行业,建议关注核心卡脖子环节(2023-04-18)电子行业 2023Q2 投资策略寻找低估值下的确定性(2023-04-05)半导体行业重大事项点评从美光被审查看国产存储发展空间(2023-04-03)电子行业半导体重大事项点评日本限制半导体设备出口,持续看好半导体设备国产化机会(2023-04-03)电子行业存储行业跟踪报告从美光财报复苏指引看国产存储发展空间(2023-03-31)电子行业专题AI 的 iphone 时刻:云端算力奔腾,终端泛音频 AloT 扩张(2023-03-22)电子行业 PCB 专题底部明确,关注布局景气增量的优质公司(2023-03-22)电子行业
84、 VRAR 跟踪专题苹果或年内发布 MR 产品,关注核心零组件供应商(2023-03-14)电子行业半导体重大事项点评美、荷或将推出政策进一步限制对华半导体设备出口,关注半导体国产化机遇(2023-03-13)产业链安全再平衡系列之(二):半导体产业政策梳理与分析集成电路政策力度有望加大,持续看好半导体产业国产化机遇(2023-03-08)电子行业半导体重大事项点评集成电路产业政策力度有望加大,分析政策深化可能路径(2023-03-03)电子行业科技安全专题半导体与消费电子产业链安全浅析及投资策略(2023-03-01)一张图初探禾赛科技(HSAI.US)激光雷达量产龙头(2023-02-27
85、)半导体行业专题ChatGPT 对 GPU 算力的需求测算与相关分析(2023-02-16)电子行业消费电子专题行业底部明确,看好消费电子拐点向上(2023-02-14)电子行业半导体设备板块跟踪点评美联合日荷加码设备限制,倒逼半导体设备国产化加速(2023-01-31)20 分析师声明分析师声明 主要负责撰写本研究报告全部或部分内容的分析师在此声明:(i)本研究报告所表述的任何观点均精准地反映了上述每位分析师个人对标的证券和发行人的看法;(ii)该分析师所得报酬的任何组成部分无论是在过去、现在及将来均不会直接或间接地与研究报告所表述的具体建议或观点相联系。一般性声明一般性声明 本研究报告由中
86、信证券股份有限公司或其附属机构制作。中信证券股份有限公司及其全球的附属机构、分支机构及联营机构(仅就本研究报告免责条款而言,不含 CLSA group of companies),统称为“中信证券”。本研究报告对于收件人而言属高度机密,只有收件人才能使用。本研究报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布该研究报告的人员。本研究报告仅为参考之用,在任何地区均不应被视为买卖任何证券、金融工具的要约或要约邀请。中信证券并不因收件人收到本报告而视其为中信证券的客户。本报告所包含的观点及建议并未考虑个别客户的特殊状况、目标或需要,不应被视为对特定客户关于特定证券或金融工具的建议或
87、策略。对于本报告中提及的任何证券或金融工具,本报告的收件人须保持自身的独立判断并自行承担投资风险。本报告所载资料的来源被认为是可靠的,但中信证券不保证其准确性或完整性。中信证券并不对使用本报告或其所包含的内容产生的任何直接或间接损失或与此有关的其他损失承担任何责任。本报告提及的任何证券或金融工具均可能含有重大的风险,可能不易变卖以及不适合所有投资者。本报告所提及的证券或金融工具的价格、价值及收益可跌可升。过往的业绩并不能代表未来的表现。本报告所载的资料、观点及预测均反映了中信证券在最初发布该报告日期当日分析师的判断,可以在不发出通知的情况下做出更改,亦可因使用不同假设和标准、采用不同观点和分析
88、方法而与中信证券其它业务部门、单位或附属机构在制作类似的其他材料时所给出的意见不同或者相反。中信证券并不承担提示本报告的收件人注意该等材料的责任。中信证券通过信息隔离墙控制中信证券内部一个或多个领域的信息向中信证券其他领域、单位、集团及其他附属机构的流动。负责撰写本报告的分析师的薪酬由研究部门管理层和中信证券高级管理层全权决定。分析师的薪酬不是基于中信证券投资银行收入而定,但是,分析师的薪酬可能与投行整体收入有关,其中包括投资银行、销售与交易业务。若中信证券以外的金融机构发送本报告,则由该金融机构为此发送行为承担全部责任。该机构的客户应联系该机构以交易本报告中提及的证券或要求获悉更详细信息。本
89、报告不构成中信证券向发送本报告金融机构之客户提供的投资建议,中信证券以及中信证券的各个高级职员、董事和员工亦不为(前述金融机构之客户)因使用本报告或报告载明的内容产生的直接或间接损失承担任何责任。评级说明评级说明 投资建议的评级标准投资建议的评级标准 评级评级 说明说明 报告中投资建议所涉及的评级分为股票评级和行业评级(另有说明的除外)。评级标准为报告发布日后 6 到 12 个月内的相对市场表现,也即:以报告发布日后的 6 到 12 个月内的公司股价(或行业指数)相对同期相关证券市场代表性指数的涨跌幅作为基准。其中:A 股市场以沪深 300指数为基准,新三板市场以三板成指(针对协议转让标的)或
90、三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准;美国市场以纳斯达克综合指数或标普 500 指数为基准;韩国市场以科斯达克指数或韩国综合股价指数为基准。股票评级股票评级 买入 相对同期相关证券市场代表性指数涨幅 20%以上 增持 相对同期相关证券市场代表性指数涨幅介于 5%20%之间 持有 相对同期相关证券市场代表性指数涨幅介于-10%5%之间 卖出 相对同期相关证券市场代表性指数跌幅 10%以上 行业评级行业评级 强于大市 相对同期相关证券市场代表性指数涨幅 10%以上 中性 相对同期相关证券市场代表性指数涨幅介于-10%10%之间 弱于大市 相对同期相关证券市场代
91、表性指数跌幅 10%以上 21 特别声明特别声明 在法律许可的情况下,中信证券可能(1)与本研究报告所提到的公司建立或保持顾问、投资银行或证券服务关系,(2)参与或投资本报告所提到的公司的金融交易,及/或持有其证券或其衍生品或进行证券或其衍生品交易,因此,投资者应考虑到中信证券可能存在与本研究报告有潜在利益冲突的风险。本研究报告涉及具体公司的披露信息,请访问 https:/ 本研究报告在中华人民共和国(香港、澳门、台湾除外)由中信证券股份有限公司(受中国证券监督管理委员会监管,经营证券业务许可证编号:Z20374000)分发。本研究报告由下列机构代表中信证券在相应地区分发:在中国香港由 CLS
92、A Limited(于中国香港注册成立的有限公司)分发;在中国台湾由 CL Securities Taiwan Co.,Ltd.分发;在澳大利亚由 CLSA Australia Pty Ltd.(商业编号:53 139 992 331/金融服务牌照编号:350159)分发;在美国由 CLSA(CLSA Americas,LLC 除外)分发;在新加坡由 CLSA Singapore Pte Ltd.(公司注册编号:198703750W)分发;在欧洲经济区由 CLSA Europe BV 分发;在英国由 CLSA(UK)分发;在印度由 CLSA India Private Limited 分发(地
93、址:8/F,Dalamal House,Nariman Point,Mumbai 400021;电话:+91-22-66505050;传真:+91-22-22840271;公司识别号:U67120MH1994PLC083118);在印度尼西亚由 PT CLSA Sekuritas Indonesia 分发;在日本由 CLSA Securities Japan Co.,Ltd.分发;在韩国由 CLSA Securities Korea Ltd.分发;在马来西亚由 CLSA Securities Malaysia Sdn Bhd 分发;在菲律宾由 CLSA Philippines Inc.(菲律宾
94、证券交易所及证券投资者保护基金会员)分发;在泰国由 CLSA Securities(Thailand)Limited 分发。针对不同司法管辖区的声明针对不同司法管辖区的声明 中国大陆:中国大陆:根据中国证券监督管理委员会核发的经营证券业务许可,中信证券股份有限公司的经营范围包括证券投资咨询业务。中国香港:中国香港:本研究报告由 CLSA Limited 分发。本研究报告在香港仅分发给专业投资者(证券及期货条例(香港法例第 571 章)及其下颁布的任何规则界定的),不得分发给零售投资者。就分析或报告引起的或与分析或报告有关的任何事宜,CLSA 客户应联系 CLSA Limited 的罗鼎,电话:
95、+852 2600 7233。美国:美国:本研究报告由中信证券制作。本研究报告在美国由 CLSA(CLSA Americas,LLC 除外)仅向符合美国1934 年证券交易法下 15a-6 规则界定且 CLSA Americas,LLC 提供服务的“主要美国机构投资者”分发。对身在美国的任何人士发送本研究报告将不被视为对本报告中所评论的证券进行交易的建议或对本报告中所述任何观点的背书。任何从中信证券与 CLSA 获得本研究报告的接收者如果希望在美国交易本报告中提及的任何证券应当联系CLSA Americas,LLC(在美国证券交易委员会注册的经纪交易商),以及 CLSA 的附属公司。新加坡:新
96、加坡:本研究报告在新加坡由 CLSA Singapore Pte Ltd.,仅向(新加坡财务顾问规例界定的)“机构投资者、认可投资者及专业投资者”分发。就分析或报告引起的或与分析或报告有关的任何事宜,新加坡的报告收件人应联系 CLSA Singapore Pte Ltd,地址:80 Raffles Place,#18-01,UOB Plaza 1,Singapore 048624,电话:+65 6416 7888。因您作为机构投资者、认可投资者或专业投资者的身份,就 CLSA Singapore Pte Ltd.可能向您提供的任何财务顾问服务,CLSA Singapore Pte Ltd 豁免
97、遵守财务顾问法(第 110 章)、财务顾问规例以及其下的相关通知和指引(CLSA 业务条款的新加坡附件中证券交易服务 C 部分所披露)的某些要求。MCI(P)085/11/2021。加拿大:加拿大:本研究报告由中信证券制作。对身在加拿大的任何人士发送本研究报告将不被视为对本报告中所评论的证券进行交易的建议或对本报告中所载任何观点的背书。英国:英国:本研究报告归属于营销文件,其不是按照旨在提升研究报告独立性的法律要件而撰写,亦不受任何禁止在投资研究报告发布前进行交易的限制。本研究报告在英国由 CLSA(UK)分发,且针对由相应本地监管规定所界定的在投资方面具有专业经验的人士。涉及到的任何投资活动
98、仅针对此类人士。若您不具备投资的专业经验,请勿依赖本研究报告。对于英国分析员编纂的研究资料,其由 CLSA(UK)制作并发布。就英国的金融行业准则,该资料被制作并意图作为实质性研究资料。CLSA(UK)由(英国)金融行为管理局授权并接受其管理。欧洲经济区:欧洲经济区:本研究报告由荷兰金融市场管理局授权并管理的 CLSA Europe BV 分发。澳大利亚:澳大利亚:CLSA Australia Pty Ltd(“CAPL”)(商业编号:53 139 992 331/金融服务牌照编号:350159)受澳大利亚证券与投资委员会监管,且为澳大利亚证券交易所及 CHI-X 的市场参与主体。本研究报告在
99、澳大利亚由 CAPL 仅向“批发客户”发布及分发。本研究报告未考虑收件人的具体投资目标、财务状况或特定需求。未经 CAPL 事先书面同意,本研究报告的收件人不得将其分发给任何第三方。本段所称的“批发客户”适用于公司法(2001)第 761G 条的规定。CAPL 研究覆盖范围包括研究部门管理层不时认为与投资者相关的 ASX All Ordinaries 指数成分股、离岸市场上市证券、未上市发行人及投资产品。CAPL 寻求覆盖各个行业中与其国内及国际投资者相关的公司。印度:印度:CLSA India Private Limited,成立于 1994 年 11 月,为全球机构投资者、养老基金和企业提
100、供股票经纪服务(印度证券交易委员会注册编号:INZ000001735)、研究服务(印度证券交易委员会注册编号:INH000001113)和商人银行服务(印度证券交易委员会注册编号:INM000010619)。CLSA 及其关联方可能持有标的公司的债务。此外,CLSA 及其关联方在过去 12 个月内可能已从标的公司收取了非投资银行服务和/或非证券相关服务的报酬。如需了解 CLSA India“关联方”的更多详情,请联系 Compliance-I。未经中信证券事先书面授权,任何人不得以任何目的复制、发送或销售本报告。未经中信证券事先书面授权,任何人不得以任何目的复制、发送或销售本报告。中信证券中信证券 2023 版权所有。保留一切权利。版权所有。保留一切权利。