上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

微导纳米-公司研究报告-专注ALD技术光伏和半导体双向高成长-230120(36页).pdf

编号:113296 PDF 36页 1.77MB 下载积分:VIP专享
下载报告请您先登录!

微导纳米-公司研究报告-专注ALD技术光伏和半导体双向高成长-230120(36页).pdf

1、 敬请参阅末页重要声明及评级说明 证券研究报告 专注专注 A ALDLD 技术,光伏和半导体双向高成长技术,光伏和半导体双向高成长 微导纳米(微导纳米(688147688147)公司研究/公司深度 主要观点:主要观点:ALDALD 技术领军企业,聚焦光伏与半导体技术领军企业,聚焦光伏与半导体 公司专注于 ALD 技术,引领国内 ALD 技术的发展应用。公司为国内首家将 ALD 技术规模化应用于光伏领域,也是国内首家成功将量产型High-k 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的设备公司,并不断在泛半导体领域拓展产品应用外延。2021 年度和 2022 年前三季度公司营收分

2、别为 4.28 亿元、3.85 亿元,归母净利润分别为 4,611.37 万元、-325.47 万元,截至 2022 年 9 月末,公司已取得在手订单 19.75 亿元。公司前期研发投入高,新产品开拓初期的毛利率有一定影响,规模效应有望带来利润加速回升。光伏:立足光伏:立足 ALDALD,新型电池技术全面布局,新型电池技术全面布局 P PERCERC:公司量产设备镀膜速率突破 10,000 片/小时,打破制约 ALD技术应用于光伏领域的产能限制。2018 年、2019 年公司 PERC 电池背钝化设备装机容量市占率分别为 41.16%、48.41%。T TOPConOPCon:(1)ALD 设

3、备在 TOPCon 电池正面氧化铝工艺中,可沉积超薄的、高深宽比的膜层,更适应正面镀钝化膜的复杂形貌,首先取得较高市占率。(2)公司开发的 PEALD 二合一平台,集成了PEALD 和 PECVD 两种工艺,分别用于制备隧穿层和多晶硅层,有望受益于 TOPCon 路线持续扩产。I IBCBC:由于平台化技术特征,IBC 可以和 HJT、TOPCon 技术结合,电池制备工艺更为多变。其中,ALD 设备在钝化层的制备中具有重要地位,且需求量往往更高。H HJTJT:公司 PECVD 技术可以应用于本征非晶硅层沉积,ALD 设备也储备用于 TCO 层沉积。钙钛矿:钙钛矿:ALD 具有衬底温度较低、可

4、精确控制膜厚、大面积生长、薄膜均匀性好、三维保形性好等特点,在TCO等功能层、缓冲层和封装中都具备广泛的应用条件。公司根据下游客户需求进行相应储备。半导体:半导体:ALDALD 技术中的国产替代先行者技术中的国产替代先行者 随着我国半导体设备市场需求量增长及国产化率提升,国产随着我国半导体设备市场需求量增长及国产化率提升,国产ALDALD设备市设备市场 空 间 不 打 断 开,带 来 领 先场 空 间 不 打 断 开,带 来 领 先 ALDALD 设 备 公 司 机 会。设 备 公 司 机 会。我 们 预 计2022/2023/2024 我国国产 ALD 设备规模分别为 5.21/6.26/9

5、.59 亿元,yoy+37.42%/20.15%/53.38%。公司公司ALDALD技术在泛半导体的各细分领域技术在泛半导体的各细分领域深度拓展。深度拓展。在逻辑芯片领域,公司为国产首家突破 28nm 制程 High-k 材料沉积技术;在存储芯片领域,公司 ALD 技术可以用于3D NAND、铁电存储(FeRAM)等先进存储技术 投资评级:投资评级:增持增持(首次(首次)报告日期:2023-1-20 收盘价(元)31.2031.20 近 12 个月最高/最低(元)32.5032.50/25.0825.08 总股本(百万股)454.46454.46 流通股本(百万股)37.59 37.59 流通

6、股比例(%)8.27%8.27%总市值(亿元)141.79 141.79 流通市值(亿元)11.73 11.73 公司价格与公司价格与沪深沪深 3 30000 指数指数走势比较走势比较 分析师:分析师:张帆张帆 执业证书号:S00 邮箱: 分析师:分析师:徒月婷徒月婷 执业证书号:S00 邮箱: -20%-10%0%10%20%--01微导纳米(前复权)沪深300 敬请参阅末页重要声明及评级说明 2 2/3636 证券研究报告 微导纳米微导纳米(688147688147)领域;在新型显示领域,公司的 A

7、LD 设备布局 OLED/mini LED 等领域,不断拓宽应用外延。盈利预测、估值及投资评级盈利预测、估值及投资评级 我们预测公司 2022-2024 年营业收入分别为 6.02/16.68/22.15 亿元,归母净利润分别为 0.31/1.26/2.59亿元,2021-2024年归母净利润 CAGR为78%,以当前总股本 4.54 亿股计算的摊薄 EPS 为 0.07/0.28/0.57 元。公司当前股价对 2022-2024 年预测 EPS 的 PE 倍数分别为 463/113/55倍,我们选取光伏及半导体领域镀膜设备领军公司作为可比公司,考虑到公司在 ALD 领域具有领先优势,且在光伏

8、及半导体领域拓展具备较大业绩弹性,首次覆盖给予“增持”评级。风险提示风险提示 1)光伏及半导体行业后续扩产不及预期的风险。2)技术迭代带来的创新风险。3)国内市场竞争加剧的风险。4)核心技术人员流失或不足的风险。5)测算市场空间的误差风险。6)研究依据的信息更新不及时,未能充分反映公司最新状况的风险。重要财务指标单位:百万元重要财务指标单位:百万元主要财务指标20212022E2023E2024E主要财务指标20212022E2023E2024E营业收入4286021,6682,215 收入同比(%)36.9%40.6%177.3%32.8%归属母公司净利润4631126259 净利润同比(%

9、)-19.1%-33.6%310.4%106.6%毛利率(%)45.8%39.8%38.5%38.7%ROE(%)5.2%3.2%11.6%19.5%每股收益(元)0.100.070.280.57P/E307.48463.33112.8954.65P/B16.0514.7813.0710.64EV/EBITDA10.6514.333.921.93资料来源:wind,华安证券研究所 TWkXsQpNVVnUpXZWpZ9P8QbRoMpPsQmPjMpPpOkPsQmPbRmOrRNZoPsNxNoPtM 敬请参阅末页重要声明及评级说明 3 3/3636 证券研究报告 微导纳米微导纳米(6881

10、47688147)正文目录正文目录 1 ALD1 ALD 技术领军企业,聚焦光伏与半导体技术领军企业,聚焦光伏与半导体 .6 6 1.1 专注 ALD 技术,拓展应用领域.6 1.2 光伏与泛半导体领域已取得广泛应用.8 1.3 营收高速增长,规模效应有望带来利润加速提升.11 2 2 光伏:立足光伏:立足 ALDALD,新型电池技术全面布局,新型电池技术全面布局 .1414 2.1 光伏装机量增长,设备空间广阔.14 2.2 大幅提效,首先将 ALD 技术应用于 PERC 电池生产.15 2.3 TOPCON持续放量,立足 ALD 发展正背面镀膜.16 2.4 ALD 需求量增长,受益 IB

11、C 路线扩产.19 2.5 HJT 持续降本增效,公司进行技术储备.21 2.6 布局钙钛矿电池,不断加强技术储备.23 3 3 半导体:半导体:ALDALD 技术中的国产替代先行者技术中的国产替代先行者 .2525 3.1 需求与国产替代共振,国产 ALD 设备市场快速增长.25 3.2 逻辑芯片:国产首家突破 28NM制程 HIGH-K材料沉积技术.27 3.3 存储芯片:精细化镀膜适应先进存储技术需求.28 3.4 新型显示:MINI LED/OLED 等广泛布局.29 4 4 投资建议投资建议 .3232 4.1 基本假设与营业收入预测.32 4.2 估值和投资建议.32 风险提示风险

12、提示 .3434 敬请参阅末页重要声明及评级说明 4 4/3636 证券研究报告 微导纳米微导纳米(688147688147)图表目录图表目录 图表 1 公司发展历程.6 图表 2 微导纳米股权结构图(截至 2022 年 12 月 22 日).6 图表 3 公司主要管理人员简介.7 图表 4 原子层沉积技术原理图.8 图表 5 PVD/CVD 与 ALD 的工艺对比.8 图表 6 公司光伏领域主要设备.9 图表 7 公司半导体领域主要设备.10 图表 8 公司产品在其他领域的应用.10 图表 9 公司主营业务收入产品类别构成情况(%).11 图表 10 光伏领域设备销售收入占比(%).11 图

13、表 11 公司专用设备产品销售具体情况.11 图表 12 2019-2022 前三季度的营业收入及同比增速.12 图表 13 2019-2022 前三季度的归母净利润及同比增速.12 图表 14 2019-2022 前三季度毛利率与净利率情况.12 图表 15 2019-2022 前三季度期间费用率情况.12 图表 16 公司分产品毛利率水平(%).13 图表 17 全球光伏新增装机及预测(GW).14 图表 18 我国光伏新增装机及预测(GW).14 图表 19 光伏产业链.14 图表 20 行业内其他企业对比.15 图表 21 铝背场电池与 PERC 电池结构区别.15 图表 22 近年光

14、伏 PERC 产能(GW).15 图表 23 PERC 电池工艺流程及对应设备.16 图表 24 PERC 电池生产中相关企业常用的 AL2O3镀膜设备产能指标.16 图表 25 PERC 和 TOPCON电池结构对比图.17 图表 26 晶科实验室 N 型单晶硅单结电池转换效率.17 图表 27 部分上市公司 TOPCON扩产情况.17 图表 28 TOPCON电池工艺流程及对应设备.18 图表 29 薄膜沉积设备在 TOPCON投资中占比提升.18 图表 30 公司 TOPCON镀膜设备应用.18 图表 31 TOPCON背面膜其他制备方法存在的问题.19 图表 32 IBC 电池结构相较

15、于其他路线更为特殊.19 图表 33 IBC 电池高低温环境下均有优秀性能表现.19 图表 34 头部电池片厂商 BC 电池量产转化效率及投产情况.20 图表 35 一种新型 IBC 太阳能电池的简易制备流程(通威太阳能).20 图表 36 HJT 电池为对称双面结构.21 图表 37 头部电池片企业 HJT 转换效率近年已达 25%以上.21 图表 38 主要厂商 HJT 电池远期产能规划.21 图表 39 异质结电池量产主要工序.22 图表 40 公司 HJT 相关的研发项目.22 敬请参阅末页重要声明及评级说明 5 5/3636 证券研究报告 微导纳米微导纳米(688147688147)

16、图表 41 IBC 电池结构相较于其他路线更为特殊.23 图表 42 IBC 电池高低温环境下均有优秀性能表现.23 图表 43 部分公司进行钙钛矿布局.23 图表 44 协鑫光电公布的钙钛矿工艺流程图.24 图表 45 ALD 缓冲层在钙钛矿电池结构中的位置.24 图表 46 钙钛矿结构示意图(ALD-AL2O3封装层).24 图表 47 全球及中国大陆半导体设备市场规模(亿美元).25 图表 48 2021 年中国半导体设备市场规模占比情况.25 图表 49 全球半导体薄膜沉积设备市场规模(亿美元).25 图表 50 2019 年半导体薄膜沉积设备占比.26 图表 51 2020 年半导体

17、薄膜沉积设备占比.26 图表 52 2019 年全球 ALD 市场竞争格局.26 图表 53 我国国产 ALD 设备市场空间测算.27 图表 54 英特尔首先在 45NM制程中提出 HIGH-K技术.27 图表 55 公司逻辑芯片领域应用产品和研发项目情况(截至 2022 年 11 月 2 日).28 图表 56 全球及中国存储芯片市场规模(亿美元).28 图表 57 全球 2021 年半导体存储器市场结构.28 图表 58 2D NAND 与 3D NAND 结构简图.29 图表 59 铁电存储器原理.29 图表 60 公司存储芯片领域应用产品和研发项目情况(截至 2022 年 11 月 2

18、 日).29 图表 61 中国 LED 芯片产值(亿元).30 图表 62 中国 LED 芯片产能分布.30 图表 63 中国 MINI LED 市场规模(亿元).30 图表 64 中国 MINI LED 市场份额.30 图表 65 公司新型显示方向产业化及研发情况.31 图表 66 公司营业收入预测.32 图表 67 可比公司估值.33 敬请参阅末页重要声明及评级说明 6 6/3636 证券研究报告 微导纳米微导纳米(688147688147)1 ALD 技术领军企业技术领军企业,聚焦光伏与半导体聚焦光伏与半导体 1.1 专注专注 ALD 技术技术,拓展应用领域拓展应用领域 微导纳米成立于

19、2015 年 12 月,2022 年 12 月在科创板上市。公司主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售,向下游客户提供先进薄膜沉积设备与相关改造服务及备品备件。公司以公司以 A ALDLD(原子层沉积)(原子层沉积)技术为核心技术为核心,主要应用于光伏和,主要应用于光伏和泛泛半导体领域。半导体领域。公司成立后即开始原型机的研发,一代量产机型 KF4000 于 2017 年中开始试量产,全球首创将 ALD 技术规模化应用于光伏领域,PECVD 设备、PEALD 二合一平台设备自 2021 年开始实现销售。公司于 2018 年启动半导体及柔性电子领域 ALD 的设备研发,在半导体领域

20、,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的国产设备公司,正式进军逻辑芯片、先进存储、3D-IC 等镀膜制造领域。图表图表 1 1 公司发展历程公司发展历程 资料来源:公司招股说明书,华安证券研究所整理 股权结构稳定,实控人股权结构稳定,实控人为王燕清家族为王燕清家族。截至 2022 年 12 月,万海盈投资直接持有公司 23,258.1624 万股股份,占公司总股本的比例为 51.18%,为公司控股股东。王燕清、王燕清、倪亚兰、王磊组成的家族倪亚兰、王磊组成的家族通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司60.60%的股份,

21、同时王燕清之子王磊担任公司董事长、王燕清之妻倪亚兰担任公司董事,王燕清家族为公司的实际控制人。王燕清家族为公司的实际控制人。截至 2022 年 6 月 30 日,公司不存在控股子公司及分公司,参股公司 1 家为芯链融创。图表图表 2 2 微导纳米股权结构图(截至微导纳米股权结构图(截至 20222022 年年 1 12 2 月月 2 22 2 日)日)敬请参阅末页重要声明及评级说明 7 7/3636 证券研究报告 微导纳米微导纳米(688147688147)资料来源:公司上市公告书,华安证券研究所整理 管理层从业经验丰富,技术团队对行业理解非常深刻。管理层从业经验丰富,技术团队对行业理解非常深

22、刻。王燕清家族作为公司实际控制人,于 2002 年创立无锡先导智能装备股份有限公司。先导智能主要从事锂电池、光伏、3C 等智能装备的制造。公司董事、副董事长兼首席技术官黎微明自 1994 年开始ALD 技术工作,是全球第一批将 ALD 技术用于半导体领域的科学家之一。公司其他高管也都从业多年,专业能力强,技术与管理经验丰富。对行业独到深刻的理解有助于公司未来发展,为公司继续保持竞争优势和龙头地位奠定基础。图表图表 3 3 公司公司主要主要管理人员管理人员简介简介 姓名姓名 公司职位公司职位 履历履历 王燕清 实际控制人 1966 年 4 月出生,中国国籍,1986 年至 1999 年任无锡县无

23、线电二厂设备助理工程师。2002 年设立无锡先导自动化设备有限公司无锡先导自动化设备有限公司(后改名为“无锡先导智能装备股份有限公司”),任董事长、总经理。2011 年 12 月至今任先导智能董事长、总经理。王磊 董事长 1993 年 11 月出生,中国国籍,毕业于新泽西州立大学计算机和数学专业。2017-2018 就职于恒云太。2019年 12 月至今,担任公司董事长。倪亚兰 董事 1970 年 11 月出生,中国国籍,2002-2011 担任无锡先导自动化设备有限公司总经理办公室助理。2011-2016 担任无锡嘉鼎投资有限公司(上海卓遨前身)总经理。2019 年 12 月至今,担任公司董

24、事。黎微明(LI WEI MIN)副董事长、首席技术官 1967 年 12 月出生,芬兰国籍,博士研究生学历,毕业于芬兰赫尔辛基大学无机化学专业。2000-2007 就职于芬兰 ASM,任高级工艺工程师。2007-2010 就职于芬兰Silecs,任应用经理。2010-2015 就职于芬兰 Picosun,任应用总监。2016-2019 任微导有限首席技术官。2019 至今任公司首席技术官并历任公司董事、副董事长。李翔(LI XIANG)董事、副总经理 1981 年 4 月出生,新加坡国籍,博士研究生学历,毕业于新加坡南洋理工大学电气与电子工程专业。2010-2012 就职于新加坡科学技术研究

25、院微电子研究所,任研发科学家。2012-2015 就职于 Picosun Asia Pte.Ltd.,任董事总经理。2016-2019 任微导有限应用总监、ALD 事业部副总经理、研发部副总经理、联席首席技术官。2019 年12 月至今,任公司董事、副总经理。周仁(ZHOU REN)总经理 1963 年 1 月出生,美国国籍,硕士研究生学历,毕业于美国丹佛大学计算机科学专业。曾供职于美国 AG Associates、Novellus System、CVC Inc 公司。1997-2006 担任美国 Lam 工程资深总监并历任资深软件经理,软件总监。2006-2010 担任中微半导体设备(上海)

26、股份有限公司执行总监并历任资深总监。2014-2020 历任拓荆科技工程副总经理、顾问。2021 年 7 月至今,担任公司总经理。敬请参阅末页重要声明及评级说明 8 8/3636 证券研究报告 微导纳米微导纳米(688147688147)胡彬 副总经理 1983 年 1 月出生,中国国籍,本科学历,毕业于东南大学机械设计制造及其自动化专业。2011-2018 任先导智能副总经理。2018-2019 就职于微导有限,任常务副总经理。2021 年 7 月至今,任公司副总经理、光伏事业部总经理。资料来源:公司招股说明书,华安证券研究所整理 1 1.2 2 光伏与泛半导体领域已取得广泛应用光伏与泛半导

27、体领域已取得广泛应用 A ALDLD 是是一种一种特殊的真空薄膜沉积特殊的真空薄膜沉积方法,技术壁垒较高,性能独特方法,技术壁垒较高,性能独特。薄膜沉积是指在基底上沉积特定材料形成薄膜,使之具有光学、电学等方面的特殊性能。ALD 技术通过将气相前驱体脉冲交替地通入反应室并在沉积基底上发生表面饱和化学反应形成薄膜。ALD 镀膜设备可以将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一次/层为一个原子层,根据原子特性,镀膜 10 次/层约为 1nm。ALD 拥有多项独特的薄膜沉积特性,如三维共形性、大面积成膜的均匀性、致密无针孔、实现亚纳米级的薄膜厚度控制。图表图表 4 4 原子层沉积技术原理

28、图原子层沉积技术原理图 资料来源:公司招股说明书,华安证券研究所整理 薄膜沉积设备按照工艺原理的不同可分为 PVD、CVD 和 ALD 设备。相比于 ALD技术,PVD 技术生长机理简单,沉积速率高,但一般只适用于平面的膜层制备;CVD技术的重复性和台阶覆盖性比 PVD 略好,但是工艺过程中影响因素较多,成膜的均匀性较差,并且难以精确控制薄膜厚度。图表图表 5 5 PVD/CVDPVD/CVD 与与 A ALDLD 的工艺对比的工艺对比 项目项目 PVD CVD ALD 优势与劣势 1.沉积速率较快 2.薄膜厚度较厚,对于纳米级的膜厚精度控制差 3.镀膜具有单一方向性 4.厚度均匀性差 5.阶

29、梯覆盖率差 1.沉积速率一般(微米/分钟)2.中等的薄膜厚度(依赖于反应循环次数)3.镀膜具有单一方向性 4.阶梯覆盖率一般 1.沉积速率较慢(纳米/分钟)2.原子层级的薄膜厚度 3.大面积薄膜厚度均匀性好 4.阶梯覆盖率最好 5.薄膜致密无针孔 敬请参阅末页重要声明及评级说明 9 9/3636 证券研究报告 微导纳米微导纳米(688147688147)主要应用领域 1.HJT 光伏电池透明电极 2.柔性电子金属化、触碰面板透明电极 3.半导体金属化 1.PERC 电池背面钝化层、PERC 电池减反层 2.TOPCon 电池接触钝化层、减反层 3.HJT 电池接触钝化层 4.柔性电子介质层、柔

30、性电子封装层 5.半导体介质层(低介电常数、半导体封装层 1.PERC 电池背面钝化层 2.TOPCon 电池隧穿层、接触钝化层、减反层 3.柔性电子介质层、柔性电子封装层 4.半导体高 k 介质层、金属栅极、金属互联阻挡层、多重曝光技术 资料来源:公司公告、华安证券研究所整理 公司产品主要应用领域一:光伏领域。公司产品主要应用领域一:光伏领域。在光伏领域公司已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。从PERC 进一步向 TOPCon 乃至 HJT/IBC 技术延伸。图表图表 6 6 公司光伏领域主要设备公司光伏领域主要设备 产品系列产品系

31、列 设备设备 类型类型 产品图示产品图示 镀膜工艺镀膜工艺 目前应用领目前应用领域域 产品说明产品说明 产业化产业化阶段阶段 夸父(KF)系列原子层沉积(ALD)系统 TALD Al2O3工艺 PERC 电池背面钝化层、TOPCon 电池正面钝化层 运用 ALD 技术,对晶硅太阳能电池表面 Al2O3钝化膜进行批量制备 产业化应用 夸父(KF)管式 PECVD 系统 PECVD SiNX工艺 PERC 电池减反层 运用 PECVD 技术,对晶硅太阳能电池表面 SiNX薄膜进行批量制备 产业化应用 PECVD SiNX工艺 TOPCon 电池背面减反层 产业化应用 祝融(ZR)管式 PEALD

32、系统 PEALD和PECVD Al2O3和 SiNX二合一工艺 PERC 电池背面钝化层、减反层 集成 PEALD 与PECVD 技术,同一台设备可完成电池 Al2O3膜和 SiNX膜,以及 TOPCon电池超薄 SiOX隧穿层和掺杂多晶硅薄膜的制备 产业化应用 PEALD和PECVD Al2O3和 SiNX二合一工艺 TOPCon 电池正面钝化层、减反层 产业化应用 PEALD和PECVD 隧穿层和掺杂多晶硅层二合一工艺 TOPCon 电池隧穿层、掺杂多晶硅层 产业化应用 羲和(XH)低压扩散炉系统 炉管 设备 非晶硅晶化及掺杂、扩散 TOPCon 电池扩散、退火 采用超高温热场控制技术,可

33、实现磷扩、硼扩、氧化和退火工艺,为TOPCon 电池生产线设备 产业化应用 资料来源:招股说明书,华安证券研究所整理 注:产业化应用是指已实现销售,产业化验证是指已签署合同并正在履行 公司产品主要应用领域公司产品主要应用领域二二:半导体半导体领域。领域。公司 ALD 设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备的高 k 材料 HfO2 较好的满足了 28nm 逻辑器件制造过程的需要。除此之外,公司 ALD 设备沉积的 HfO2、ZrO2、La2O3 以及互相掺杂沉积工艺可用于新型存储器如铁电存储(FeRAM)芯片的电容介质层,沉积的 Al2O3、TiN、AlN 可用于化合物半导

34、体、量子器件的超导材料导电层等,上述应用均已完成客户的试样测试并签署订单。敬请参阅末页重要声明及评级说明 1010/3636 证券研究报告 微导纳米微导纳米(688147688147)图表图表 7 7 公司半导体领域主要设备公司半导体领域主要设备 产品系列产品系列 设备设备 类型类型 产品图示产品图示 镀膜工艺镀膜工艺 目前应用领域目前应用领域 产品说明产品说明 产业化产业化阶段阶段 凤凰(P)系列原子层沉积镀膜系统 TALD HfO2工艺 逻辑芯片高 k 栅介质层 主要用于单片型12 寸及 8 寸晶圆生产中氧化物、氮化物及金属镀膜工艺 产业化应用 HfO2工艺 存储芯片高 k 栅电容介质层(

35、单元和多元掺杂介质层)产业化验证 ZrO2工艺 La2O3工艺 TiO2工艺 存储芯片高 k 栅介质覆盖层 产业化验证 凤凰(P-Lite)轻型原子层沉积镀膜系统 TALD TiN 工艺 半导体量子器件超导材料导电层 主要用于单片型 8 寸、6 寸及以下的第三代化合物半导体、量子器件等氧化物、氮化物及金属镀膜工艺 产业化验证 Al2O3和AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 PEALD Al2O3和AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 麒麟(QL)系列原子层沉积镀膜系统 TALD Al2O3和TiO2工艺 硅基微型显示芯片阻水阻氧保护层 用于批量型

36、12 寸及 8 寸晶圆生产中氧化物、氮化物及金属镀膜工艺,单腔体每批次可容纳最多 25 片 12 寸(兼容 25 片 8 寸晶圆镀膜)产业化验证 龙(Dragon)系列真空传输系统 真空传输系统 -半导体设备晶圆传输平台系统 用于半导体先进制程的晶圆真空传输系统,该平台系统可有效避免晶圆表面微尘,可实现高产能下的稳定性 产业化应用 资料来源:招股说明书,华安证券研究所整理 注:产业化应用是指已实现销售,产业化验证是指已签署合同并正在履行 除了光伏和半导体领域外,公司还拓展了柔性电子等其他领域柔性电子等其他领域的应用。公司自主开发的 FlexGuard(FG)系列卷对卷原子层沉积镀膜系统主要在

37、OLED 等先进显示技术的柔性电子材料上进行真空镀膜,已实现产业化应用。图表图表 8 8 公司产品在其他领域的应用公司产品在其他领域的应用 产品系列产品系列 设备类型设备类型 产品图示产品图示 说明说明 产业化产业化阶段阶段 FlexGuard(FG)系列卷对卷原子层沉积镀膜系统 TALD 主要为 OLED 等各类柔性电子器件镀膜实现阻水阻氧保护 产业化应用 资料来源:公司招股说明书,华安证券研究所整理 注:产业化应用是指已实现销售 公司主营业务收入以专用设备为主公司主营业务收入以专用设备为主,2022 年前三季度占比超 93%。另有一些设备改造业务,2021 年度设备改造业务增幅较大,其业务

38、规模受光伏电池硅片大尺 敬请参阅末页重要声明及评级说明 1111/3636 证券研究报告 微导纳米微导纳米(688147688147)寸化趋势、公司臭氧工艺的推广以及新工艺开发及应用情况等因素影响。公司专用设备以公司专用设备以 ALDALD 设备为主。设备为主。2019、2020 年公司专用设备收入均为 ALD设备,2021 年后,公司 PECVD 设备、PEALD 二合一平台设备等新产品占比增长迅速,且受向 N 型电池片的产能布局节奏影响,公司 ALD 设备的出货占比下降,随着 TOPCon 产能扩产,ALD 设备订单再次快速起量。截至截至 20222022 年年 9 9 月末,公司已取得在

39、手订单月末,公司已取得在手订单 19.7519.75 亿元,其中专用设备在手订亿元,其中专用设备在手订单合计单合计 18.5618.56 亿元,专用设备在手订单中毛利率较高的亿元,专用设备在手订单中毛利率较高的 ALDALD 设备的占比为设备的占比为 85.66%85.66%。图表图表 9 9 公司主营业务收入产品类别构成情况(公司主营业务收入产品类别构成情况(%)图表图表 1010 光伏领域设备销售收入占比(光伏领域设备销售收入占比(%)资料来源:公司招股说明书,华安证券研究所 资料来源:公司招股说明书,华安证券研究所 图表图表 1111 公司公司专用设备产品销售具体情况专用设备产品销售具体

40、情况 应用领域应用领域 类型类型 项目项目 2019 2020 2021 2022H1 光伏领域设备 ALD 设备 销量(台)38 59 22 11 销售均价(万元/台)531.44 507.06 618.95 593.16 销售总额(万元)20,194.69 29,916.79 13,616.86 6,524.78 PECVD 设备 销量(台)-19 8 销售均价(万元/台)-374.9 373.89 销售总额(万元)-7,123.01 2,991.15 PEALD 二合一 平台设备 销量(台)-17 12 销售均价(万元/台)-399.27 398.23 销售总额(万元)-6,787.61

41、 4,778.76 半导体领域设备 ALD 设备 销量(台)-1-销售均价(万元/台)-2,520.00-销售总额(万元)-2,520.00-真空传输系统 销量(台)-1 销售均价(万元/台)-475 销售总额(万元)-475 资料来源:公司招股说明书,华安证券研究所整理 1.3 营收高速增长,规模效应有望带来利润加速提升营收高速增长,规模效应有望带来利润加速提升 公司近年来营收呈增长态势,但净利润水平有所波动。公司近年来营收呈增长态势,但净利润水平有所波动。2021 年度和 2022 年前三季93.59%95.74%64.39%93.91%6.41%4.26%29.71%3.62%0%10%

42、20%30%40%50%60%70%80%90%100%20022Q1-Q3专用设备(光伏)专用设备(半导体)配套产品及服务100.00%100.00%49.47%45.64%0%10%20%30%40%50%60%70%80%90%100%20022H1ALD设备PECVD设备PEALD二合一平台设备 敬请参阅末页重要声明及评级说明 1212/3636 证券研究报告 微导纳米微导纳米(688147688147)度公司营收分别为 4.28 亿元、3.85 亿元,同比增长 36.91%、66.8%;归母净利润分别为4,611.37 万元、-325.47

43、 万元,同比下降 19.12%、115.83%。20 年公司总营收年公司总营收 CAGRCAGR 为为 40.81%40.81%。公司主营业务收入不断增长主要原因是:1)光伏行业总体需求不断增长。2)公司产品匹配电池生产技术发展方向,推出符合市场需求的高性能产品。公司解决了传统 ALD 技术速率慢的不足,提升单台设备产能和效率,并推出适用 TOPCon 等新型高效电池的产品。同时公司还提供尺寸改造、工艺改造等服务。3)除了光伏领域外,公司的 ALD 设备已在逻辑芯片 28nm 先进制程生产线中实现突破,并持续推进在半导体及其他细分领域的应用。公司 2020 年起营

44、收增长,但因费用金额上升,净利润有所波动。2022 前三季度公司出现亏损,主要系上半年我国新冠肺炎疫情呈多点散发情形,尤其是 2022 年第二季度无锡及周边地区客户现场工作受到影响,导致营业收入较上年度同期略有下降。同时公司扩充了管理、销售、研发等人员,并提高了相关投入,期间费用较上年度同期大幅增长。图表图表 1212 2012019 9-2022022 2 前三季度的营业收入及同比增速前三季度的营业收入及同比增速 图表图表 1313 2012019 9-2022022 2 前三季度的归母净利润及同比增速前三季度的归母净利润及同比增速 资料来源:WIND,华安证券研究所 资料来源:WIND,华

45、安证券研究所 近年来公司毛利率与净利率略有下降,主要系期间费用率上升且近年来公司毛利率与净利率略有下降,主要系期间费用率上升且专用设备毛利率有专用设备毛利率有所下降所下降。2021 年度和 2022 年前三季度,公司销售毛利率分别为 45.77%、37.11%;销售净利率分别为 10.78%、-0.85%。毛利率下降主要由于产品收入结构改变,2021 年公司推出了 PECVD 设备、PEALD 二合一设备,由于产品推出初期先在 PERC 技术路线上进行推广,与已存在成熟的方案竞争,两款产品 2021 年和 2022 年上半年毛利率均低于 20%。公司根据已有订单测算,在 TOPCon 技术路线

46、上推出的 PECVD 设备与 PEALD 二合一设备的毛利率均在 35%以上,未来该产品毛利率预计将有提高。且 2022 年上半年 ALD设备运用于半导体领域毛利率高于 50%,半导体收入的增长也将带动毛利率回升。公司期间费用率高,研发费用率逐年上涨。公司期间费用率高,研发费用率逐年上涨。2021 年度和 2022 年前三季度期间费用率分别为 37.06%、39.88%;研发费用分别为 9,704 万元、9,354.58 万元;研发费用率分别为 22.68%、24.29%。期间费用率上升主要是由于公司加大人才引入力度和产品应用领域拓展,导致投入增加、费用上升。随着公司业绩快速增长,规模效应不断

47、显现,公司的期间费用率有望步入下行通道。图表图表 1414 2012019 9-2022022 2 前三季度前三季度毛利率与净利率情况毛利率与净利率情况 图表图表 1515 2012019 9-2022022 2 前三季度前三季度期间费用率情况期间费用率情况 0%10%20%30%40%50%60%70%80%0.00.51.01.52.02.53.03.54.04.520022Q1-Q3营业收入(亿元)同比增速(%,右轴)-140%-120%-100%-80%-60%-40%-20%0%20%-1,00001,0002,0003,0004,0005,0006,0007,

48、00020022Q1-Q3归母净利润(万元)同比增速(%,右轴)敬请参阅末页重要声明及评级说明 1313/3636 证券研究报告 微导纳米微导纳米(688147688147)资料来源:WIND,华安证券研究所 资料来源:WIND,华安证券研究所 图表图表 1616 公司公司分产品毛利率水平(分产品毛利率水平(%)资料来源:公司招股说明书,华安证券研究所整理 -10%0%10%20%30%40%50%60%20022Q1-Q3毛利率(%)净利率(%)0%10%20%30%40%50%20022Q1-Q3期间费用率(%)研发费用率(

49、%)销售费用率(%)管理费用率(%)财务费用率(%)0%10%20%30%40%50%60%70%80%专用设备配套产品及服务专用设备光伏领域:ALD设备专用设备光伏领域:PECVD设备专用设备光伏领域:PEALD二合一平台设备专用设备半导体领域:ALD设备专用设备半导体领域:真空传输系统配套产品及服务:设备改造配套产品及服务:备品备件及其他20212022H1 敬请参阅末页重要声明及评级说明 1414/3636 证券研究报告 微导纳米微导纳米(688147688147)2 光伏光伏:立足:立足 ALD,新型电池技术全面布局,新型电池技术全面布局 2.1 光伏装机量增长,设备空间广阔光伏装机量

50、增长,设备空间广阔 光伏装机量预测持续高增长。光伏装机量预测持续高增长。根据 CPIA 数据,2021 年全球光伏新增装机 170GW,创历史新高。在光伏发电成本持续下降推动下,全球光伏新增装机仍将快速增长,保守保守情况下预计情况下预计 2030 年全球年全球/我国新增装机量我国新增装机量 315/105GW。2022 年上半年,中国光伏产业总体实现高速增长,产业链主要环节保持强劲发展势头,多晶硅、硅片、电池、组件产量同比增长均在 45%以上。上半年中国光伏发电新增装机 30.88GW,同比增长 137.4%。CPIA 乐观预计光伏市场或将开启加速模式,乐观预计光伏市场或将开启加速模式,并将今

51、年全球和我国的新增装机预测均调高并将今年全球和我国的新增装机预测均调高 10GW。图表图表 17 全球光伏新增装机及预测(全球光伏新增装机及预测(GW)图表图表 18 我国光伏新增装机及预测(我国光伏新增装机及预测(GW)资料来源:CPIA,华安证券研究所 资料来源:CPIA,华安证券研究所 光伏产业链上下游环节较多。其上游为工业硅和晶体硅料的生产,单晶硅棒、多晶硅锭的加工制造;中游为硅片、电池片的生产加工和光伏电池组件的生产制作;下游为光伏系统的应用,包括电站项目的开发、电站系统的集成和运营等,并逐渐向光伏取暖、光伏交通等领域拓展。公司产品主要用于光伏产业链的中游环节,为太阳能电池片厂商公司

52、产品主要用于光伏产业链的中游环节,为太阳能电池片厂商提供镀膜设备,用于在电池片提供镀膜设备,用于在电池片薄膜沉积薄膜沉积,是光伏电池片生产环节的关键工艺设备。,是光伏电池片生产环节的关键工艺设备。图表图表 1919 光伏产业链光伏产业链 资料来源:捷佳伟创公司公告,华安证券研究所整理 17.530.23238.44353738527030033003303603660500300350400200000222023202

53、4202520272030保守装机量乐观装机量205-250GW0.892.74.510.95 10.615.134.553.0644.2630.148.254.887580859010010554.889095802040608000002220232024202520272030保守装机量乐观装机量85-100GW 敬请参阅末页重要声明及评级说明 1515/3636 证券研究报告 微导纳米微导纳米(688147688147)国内光伏电池片企业选用的光伏设备已基

54、本实现国产化国内光伏电池片企业选用的光伏设备已基本实现国产化,薄膜沉积设备多样化,薄膜沉积设备多样化。理想晶延、捷佳伟创和红太阳等占据薄膜沉积设备的主要市场份额。无锡松煜、理想晶延和微导纳米主要采用 ALD 技术,捷佳伟创、北方华创、红太阳及 Centrotherm 主要采用PECVD 技术,拉普拉斯主要产品是 LPCVD。微导纳米连续两年 ALD 产品收入规模在国内同类企业中排名第一。在已经量产的高效电池技术领域,微导纳米在 ALD 设备领域市占率达到了 70%80%,在其同类产品中市场占有率稳居全球第一梯队。图表图表 2020 行业内其他企业对比行业内其他企业对比 名称名称 成立时间成立时

55、间 企业简介企业简介 无锡松煜无锡松煜 2017 年 主要产品包括 ALD、管式 PECVD、LPCVD、三合一 PECVD 沉积系统等产品。理想晶延理想晶延 2013 年 主要产品包括 ALD、PECVD 等系列。微导纳米微导纳米 2015 年 国内主要从事光伏 ALD 设备的企业之一,产品类型以管式 ALD 设备为主,并拓展 PECVD、PEALD 二合一设备。捷佳伟创捷佳伟创 2007 年 产品涵盖原生多晶硅料生产设备、硅片加工设备、晶体硅电池生产设备等。北方华创北方华创 2001 年 由七星电子与北方微电子完成并购重组而成,其 PECVD 产品已在光伏领域实现批量销售。红太阳红太阳 2

56、009 年 中国电子科技集团控股子公司,主要产品包括 PECVD、LPCVD、ALD、扩散炉、氧化炉等。CentrothermCentrotherm 1976 年 德国企业,长期从事热解决方案的创新开发,并提供光伏、集成电路与微电子工业的生产解决方案。其中,光伏技术的生产设备包括管式低压扩散炉、PECVD系统、LPCVD 系统、快速烧结炉、再生炉等。拉普拉斯拉普拉斯 2016 年 主营光伏领域设备,包括扩散系统、LPCVD、PECVD 等 资料来源:招股说明书,华安证券研究所 2.2 大幅提效,首先大幅提效,首先将将 ALD 技术应用于技术应用于 PERC 电池生产电池生产 PERC 高效太阳

57、能电池是通过在电池背面增加钝化层,阻止载流子在一些高复合区域(如电池表面与金属电极的接触处)的复合行为,减少电损失,同时可以增强电池下表面光反射,减少光损失,从而提高电池的转换效率,提高电池的性能,PERC 电池与电池与常规全铝背场电池最大的区别在于:电池背面用全表面介质膜钝化和局域金属接触方式常规全铝背场电池最大的区别在于:电池背面用全表面介质膜钝化和局域金属接触方式取代全铝背场电极。取代全铝背场电极。从各类电池的市场占有率看,2018 年传统的 BSF 电池依然占领半数市场,2019 年 PERC 电池技术迅速反超 BSF 电池,占据了超过 65%的市场份额,随着 PERC电池片新产能持续

58、释放,2020年 PERC电池片市场占比达到 86.4%,2021年进一步提升至 91.2%。图表图表 21 铝背场电池与铝背场电池与 P PERCERC 电池结构区别电池结构区别 图表图表 22 近年光伏近年光伏 PERC 产能产能(GW)资料来源:帝尔激光公司公告、华安证券研究所 资料来源:Taiyangnews、华安证券研究所 0%50%100%150%200%250%0204060800019PERC产能(GW)PERC产能增速(%,右轴)敬请参阅末页重要声明及评级说明 1616/3636 证券研究报告 微导纳米微导纳米(6881476881

59、47)在在PERC电池背面钝化电池背面钝化Al2O3的沉积工艺中,主要采用的沉积工艺中,主要采用ALD技术与技术与PECVD技术。技术。PERC背面钝化工艺主要是在硅片背面沉积Al2O3和SiNX,Al2O3由于具备较高的负电荷密度,可以对 P 型表面提供良好的钝化,SiNX 主要作用是保护背部钝化膜,并保证电池正面的光学性能。在 PERC 电池背面钝化 Al2O3 的沉积工艺中,ALD 技术与PECVD 技术存在互相替代的关系。图表图表 2323 PERCPERC 电池工艺流程及对应设备电池工艺流程及对应设备 资料来源:公司公告、华安证券研究所整理 公司公司 ALD 设备突破产能限制,首先应

60、用于光伏领域。设备突破产能限制,首先应用于光伏领域。在常规单晶电池制造工艺流程中,仅电池正面需要用 PECVD 镀 SiNX,所以后来电池厂商优先运用 PECVD 技术在PERC 电池背面沉积 Al2O3。当时 ALD 技术在国外主要应用于半导体领域,大多属于单片式反应器类型,这种反应器虽然镀膜精度高,但产能较低。为了克服产能限制,2017年起国内 ALD 设备制造商陆续推出创新解决方案。公司进一步通过高产能真空镀膜技术、基于原子层沉积的高效电池技术等核心技术,解决了传统 ALD 技术速率慢的不足。根据中国光伏行业协会2021-2022 年中国光伏产业年度报告,公司量产设备镀膜速率已经突破 1

61、0,000 片/小时,打破制约 ALD 技术应用于光伏领域的产能限制,成为行业主流镀膜方案之一。2018 年、2019 年公司 PERC 电池背钝化设备装机容量市占率分别为41.16%、48.41%。图表图表 2424 PERCPERC 电池电池生产中生产中相关企业相关企业常用的常用的 AlAl2 2O O3 3镀膜设备产能指标镀膜设备产能指标 公司名称公司名称 技术类型技术类型 产能(片产能(片/小时)小时)产能(产能(MW/MW/年)年)Centrotherm PECVD 6,000 290 捷佳伟创 PECVD 6,450 310 理想晶延 ALD 7,200 345 微导纳米 ALD

62、10,000 480 资料来源:招股说明书、华安证券研究所 注:年产能数据按 166mm 硅片尺寸计算 2.3 TOPCon 持续持续放量,放量,立足立足 ALD 发展正背面镀膜发展正背面镀膜 TOPCon 电池转换效率不断提升。电池转换效率不断提升。N 型 TOPCon 技术是一种基于选择性载流子原理的隧穿氧化层钝化接触的太阳能电池技术,其电池结构为 N型硅衬底电池,背面制备一层超薄氧化硅,然后再沉积一层掺杂硅薄层,二者共同形成了钝化接触结构,有效降低表面复合和金属接触复合,为 N 型电池转换效率进一步提升提供了更大的空间。P 型PERC 电池理论转换效率极限为 24.5%,截至 2022

63、年 8 月,横店东磁 P 型 PERC 电池转换效率突破 24.01%,为行业最高水平。N 型 TOPCon 电池的理论极限转换效率则为28.7%。根据晶科能源公告,截至 2022年三季度末,晶科 TOPCon电池 10GW 以上量产规模效率突破 25%,据其 2022年 12月 8日公众号文章,182N型高效单晶硅电池实验室转化效率达到 26.4%。敬请参阅末页重要声明及评级说明 1717/3636 证券研究报告 微导纳米微导纳米(688147688147)图表图表 25 PERCPERC 和和 TOPConTOPCon 电池结构对比图电池结构对比图 图表图表 26 晶科实验室晶科实验室 N

64、 N 型单晶硅单结电池转换效率型单晶硅单结电池转换效率 资料来源:摩尔光伏、华安证券研究所 资料来源:晶科能源公众号,华安证券研究所整理 TOPCon 扩产进行时。随着 TOPCon 电池片转换效率的不断提升,电池溢价显现,根据中来股份 2022 年 9 月 27 日的 182 尺寸 TOPCon 电池 1.44 元/W,及 PV INFOLINK2022 年 12 月 7 日公布的 182mmPERC 电池片 1.32 元/W 均价,TOPCon 电池溢价为 0.12 元/W。2022 年以来,国内新增建设和规划中的 TOPCon 电池产能已超250GW,其中 2022 年底产能预计超 85

65、GW,2023 年产能预计超 200GW。图表图表 2727 部分上市公司部分上市公司 T TOPConOPCon 扩产情况扩产情况 上市公司上市公司 时间时间 项目项目 规划产能(规划产能(GW)2022E(GW)2023E(GW)晶科能源晶科能源 2022.1.4 安徽高效 N 型 TOPCon 电池项目 8 25 35+2022.2 海宁基地 8GW 高效 N 型电池片项目 8 2022.6.28 海宁二期 11GW 高效电池及 15GW 组件智能生产线项目 11 2022.7.30 安徽二期 8GW TOPCon 电池片项目 8 晶澳股份晶澳股份 2022.6.7 义乌年产 5GW 高

66、效电池和 10GW 高效组件及配套项目正式投产 31.3 6.3 26 2022.7.29 宁晋 1.3GW+6GW 电池项目 2022/3/8 曲靖年产 10GW 高效电池和 5GW 高效组件项目 2022.6.30 扬州年产 10GW 高效率太阳能电池项目 中来股份中来股份 2021.5.24 山西 16GW 高效单晶电池智能工厂项目 16 7.6 15.6 天合光能天合光能 2022.4.8 宿迁 8GW TOPCon 电池项目 8 8 18 2022.6.28 西宁年产 30 万吨工业硅、年产 15 万吨高纯多晶硅、年产35GW 单晶硅、年产 10GW 切片、年产 10GW 电池、年产

67、 10GW 组件以及 15GW 组件辅材生产线项目 10 2022.9.25 年产 15GW 高效电池和 15GW 大功率组件项目 15 通威股份通威股份 2022.4.1 眉山年产 32GW 高效晶硅电池项目 8.5+8.5 8.5+润阳股份润阳股份 2022.3.18 10GW TOPCon 电池生产线 10 10 10+资料来源:亚化咨询,各公司公告,华安证券研究所整理 TOPCon 薄膜沉积设备投资占比提升。薄膜沉积设备投资占比提升。TOPCon 电池与 PERC 产线兼容性高,TOPCon 可在现有 PERC 产线上进行改造升级,设备改造成本约 5-6 千万元/GW。从生产工艺步骤来

68、看,TOPCon 与现有 PERC 产线的兼容性较好,最大程度地保留和利用现有 P 型电池设备工艺流程,在现有的 PERC 产线基础上,只需要增加硼扩散、薄膜沉积设备以及湿法刻蚀机台。公司研发、生产的设备在 PERC 产线建设中的投资占比为24.71%-26.73%,在 TOPCon(含 N 型电池)产线建设中的投资比重上升至 33.00%-39.12%。PERC 电池 TOPCon 电池 敬请参阅末页重要声明及评级说明 1818/3636 证券研究报告 微导纳米微导纳米(688147688147)图表图表 2828 TOPCTOPConon 电池工艺流程及对应设备电池工艺流程及对应设备 资料

69、来源:公司公告、CPIA,华安证券研究所 图表图表 2929 薄膜沉积设备薄膜沉积设备在在 T TOPConOPCon 投资投资中中占比提升占比提升 上市公司上市公司 时间时间 项目项目 电池类型及规模电池类型及规模 设备投资总额设备投资总额 (亿元)(亿元)薄膜沉积等设薄膜沉积等设备投资占比备投资占比 中来股份 2021.5 年产 16GW 高效单晶电池智能工厂项目(一期)TOPCon 电池8GW 20.25 36.43%隆基股份 2021.5 西咸乐叶年产 15GW 单晶高效单晶电池项目 TOPCon 电池15GW 46.64 34.57%隆基股份 2021.5 宁夏乐叶年产 5GW 单晶

70、高效电池项目(一期 3GW)N 型电池 3GW 10.15 33.00%爱旭股份 2021.4 珠海年产 6.5GW 新世代高效晶硅太阳能电池建设项目 N 型电池 6.5GW 36.15 37.34%爱旭股份 2021.4 义乌年产 10GW 新世代高效太阳能电池项目第一阶段 2GW 建设项目 N 型电池 2GW 11.33 36.63%天合光能 2020.12 盐城年产 16GW 高效太阳能电池项目 PERC 电池 16GW 54.21 24.71%天合光能 2020.12 年产 10GW 高效太阳能电池项目(宿迁二期 5GW)PERC 电池 5GW 16.99 26.73%天合光能 202

71、0.12 宿迁(三期)年产 8GW 高效太阳能电池项目 TOPCon 电池8GW 31.41 39.12%通威 太阳能 2020.8 年产 7.5GW 高效晶硅太阳能电池智能工厂项目(眉山二期)PERC 电池 7.5GW 17.78 26.17%通威 太阳能 2020.8 年产 7.5GW 高效晶硅太阳能电池智能互联工厂项目(金堂一期)PERC 电池 7.5GW 18.72 24.85%资料来源:招股说明书,华安证券研究所 公司公司 ALD 技术可以应用在技术可以应用在 TOPCon 的正反面镀膜中,首先在正面钝化膜取得较高的正反面镀膜中,首先在正面钝化膜取得较高市占率。市占率。ALD设备在

72、TOPCon工艺中氧化铝制备更具优势,在 TOPCon电池正面氧化铝工艺中,区别于普通 CVD 或 PECVD 原理,ALD 可沉积超薄的、高深宽比的膜层,更适应正面镀钝化膜的复杂形貌。图表图表 3030 公司公司 T TOPCOPConon 镀膜设备应用镀膜设备应用 应用领域应用领域 镀膜工艺镀膜工艺 产品系列产品系列 设备类型设备类型 产业化阶段产业化阶段 正面钝化层正面钝化层 Al2O3 工艺 夸父(KF)系列原子层沉积(ALD)系统 TALD 产业化应用 背面减反层背面减反层 SiNX 工艺 夸父(KF)管式 PECVD 系统 PECVD 正面钝化层、减正面钝化层、减反层反层 Al2O

73、3 和 SiNX 二合一工艺 祝融(ZR)管式 PEALD 系统 PEALD 和 PECVD 敬请参阅末页重要声明及评级说明 1919/3636 证券研究报告 微导纳米微导纳米(688147688147)电池隧穿层、掺电池隧穿层、掺杂多晶硅层杂多晶硅层 隧穿层和掺杂多晶硅层二合一工艺 电池扩散、退火电池扩散、退火 非晶硅晶化及掺杂、扩散 羲和(XH)低压扩散炉系统 炉管设备 资料来源:招股说明书、华安证券研究所 公司开发的公司开发的 PEALD 二合一平台,集成了二合一平台,集成了 PEALD 和和 PECVD 两种工艺,分别用于两种工艺,分别用于制备隧穿层和多晶硅层,能够弥补制备隧穿层和多晶

74、硅层,能够弥补 LPCVD 存在的不足。存在的不足。在氧化硅隧穿层的制备中,目前较常见的有高温热氧化法、等离子体氧化法和 PEALD 技术。高温热氧化法、等离子体氧化法在实践中也均存在问题。公司开发出了 ZR50002PEALD“二合一”产品,创新性的将 ALD 技术应用于氧化硅层的制备,能够连续完成 TOPCon 电池的背膜结构(隧穿氧化硅/原位掺杂多晶硅)镀膜。跟上述氧化法相比,采用 ALD 技术可以获得超薄(2nm)、大面积均匀性、致密性好、无针孔的氧化硅层。图表图表 3131 TOPCTOPConon 背面膜背面膜其他制备方法存在的问题其他制备方法存在的问题 制备方法制备方法 工艺流程

75、工艺流程 存在问题存在问题 LPCVD 隧穿层和多晶硅层 绕镀严重、成膜速率低、需二次掺杂过程繁琐、后期运营成本高 高温热氧化法 氧化硅隧穿层 能获得高质量的氧化硅层、较低的界面缺陷态密度,但存在大尺寸硅片下容易受热不均匀、成膜反应速度慢等问题 等离子体氧化法 氧化硅隧穿层 采用等离子体轰击 N2O 使其解离产生游离 O 从而氧化硅片表面,该方法生长的氧化硅厚度较厚,对于 1-3nm 的厚度,该方法难以控制厚度 资料来源:招股说明书,华安证券研究所 2.4 ALD 需求量增长,需求量增长,受益受益 IBC 路线扩产路线扩产 IBC 电池最大的特点是 P-N 结和金属接触都处于电池的背面,正面没

76、有金属电极遮挡的影响,因此具有更高的短路电流,同时背面可以容许较宽的金属栅线来降低串联电阻从而提高填充因子,加上电池前表面场以及良好钝化作用带来的开路电压增益,使得这种正面无遮挡的电池就拥有了高转换效率。IBC 电池起步较早,较传统 PERC 具备已具备明显优势,且可靠性好,高低温环境下均有优秀的性能表现。图表图表 32 IBC 电池结构相较于其他路线更为特殊电池结构相较于其他路线更为特殊 图表图表 33 IBC 电池高低温环境下均有优秀性能表现电池高低温环境下均有优秀性能表现 资料来源:IBC 太阳电池技术的研究进展,华安证券研究所 资料来源:IBC TECHNOLOGY&MANUFACTU

77、RING,华安证券研究所 量产转化效率持续提升叠加应用产品推出量产转化效率持续提升叠加应用产品推出,远期产能规划可观远期产能规划可观。2022年11月2日,隆基绿能发布 HPBC 电池,标准版量产效率突破 25%,叠加了氢钝化技术的 PRO 版,效率可以超过 25.3%,基于高效 HPBC电池技术打造的 Hi-MO 6 组件量产效率则可达到22.8%。爱旭股份新型 ABC 电池平均量产转化效率 25.5%,未来则有望实现 27%以上,基于 ABC 电池的“黑洞”系列组件及整体解决方案服务则开创性地采用了无银化技术,敬请参阅末页重要声明及评级说明 2020/3636 证券研究报告 微导纳米微导纳

78、米(688147688147)降本增效,组件转换效率达 23.5%。隆基股份 15GW 项目已开始投产,远期规划产能超30GW;爱旭股份珠海 6.5GW 产能投产在即,远期规划 50GW 以上。图表图表 3434 头部电池片厂商头部电池片厂商 B BC C 电池量产转化效率及投产情况电池量产转化效率及投产情况 公司公司 技术技术路线路线 特点特点 量产转化效率量产转化效率 2022 年投产情况年投产情况 后续投产规划后续投产规划 隆基绿能 HPBC 1)通过电池内部结构工艺调整,可大幅提升电池的光线吸收和光电转换能力,有效增加组件输出功率;2)正面无栅线的 HPBC 电池,使得组件外观极简纯粹

79、,产品可以满足不同建筑设计风格,融洽和谐地搭配多元化场景;3)全背面焊接技术,背面采用“一”字型焊接,有效提升组件抗隐裂能力,极大提升产品可靠性。25.3%(叠加氢钝化技术 PRO 版)2022 年 9 月,西咸乐叶年产 15GW 高效单晶电池项目投产 泰州 4GW 项目预计 2023 年上半年实现满产;西咸项目 23 年 9月预计投产29GW。爱旭股份 ABC 1)背面全黑,可以全面吸收太阳光,降低了以前三线遮挡带来的能量损失;2)其双层绒面结构能减少反射,更多地吸收能量,能量吸收做到最大化;3)靠专有技术高清精密图形将高低结、PN 结等都放在电池片背面,保证无干扰、无损伤和高效率的传输。2

80、5.5%(远期 27%以上)珠海 6.5GW 项目投产 远期产能规划50GW 以上 资料来源:隆基绿能发布会、爱旭股份发布会、公司公告、华安证券研究所整理 IBC 制造流程中制造流程中,多个步骤应用,多个步骤应用薄膜沉积设备。薄膜沉积设备。由于平台化技术特征,IBC 可以和HJT、TOPCon技术结合,电池制备工艺更为多变。正背面的钝化与减反膜、背面 PN结的制备往往都需薄膜沉积设备参与其中。其中,ALD 设备在钝化层的制备中具有重要地位,且需求量往往更高,将充分受益于 IBC 电池后续扩产。图表图表 3535 一种新型一种新型 IBCIBC 太阳能电池的简易制备太阳能电池的简易制备流程流程(

81、通威太阳能)(通威太阳能)资料来源:国家知识产权局官网、华安证券研究所整理 敬请参阅末页重要声明及评级说明 2121/3636 证券研究报告 微导纳米微导纳米(688147688147)2.5 HJT 持续降本增效持续降本增效,公司,公司进行技术储备进行技术储备 HJT 路线产业化进程加快,转换效率持续提升。路线产业化进程加快,转换效率持续提升。异质结(HJT)最早由日本三洋公司于 1990 年成功开发,为对称双面电池结构,在 P 型氢化非晶硅和 N 型氢化非晶硅与 N 型硅衬底之间增加一层非掺杂(本征)氢化非晶硅薄膜,改变 PN 结的性能。与 PERC 电池相比,具有高效率(头部厂商已达到

82、25%以上)、大尺寸、工艺简化、低衰减、双面率高(90-95%,高于 PERC 电池 70%左右的水平)、薄片化等多重优势。HJT 电池理论最高转换效率为 27.5%,可以采用叠层等技术,叠加后最高效率有望提升至 30%以上。2022 年 11 月 19 日,隆基发布了 26.81%的 HJT 电池效率世界纪录。图表图表 36 HJT 电池为对称双面结构电池为对称双面结构 图表图表 37 头部电池片企业头部电池片企业 HJT 转换效率近年已达转换效率近年已达 25%以上以上 公司公司 转换效率转换效率 实现时间实现时间 隆基绿能 转换效率达 26.81%2022 年 11 月 通威股份 最高研

83、发效率达 25.67%(ISFH认证)2022 年上半年 华晟新能源 M6 HJT 电池转换效率达到25.26%(ISFH 认证)2021 年 7 月 东方日升 实验室最高组件效率 23.65%2022 年 11 月披露 金石能源 异质结组件窗口效率达到24.46%2022 年 11 月 金刚光伏 异质结电池的转换效率平均为25%2022 年 10 月披露 资料来源:北极星光伏网,华安证券研究所 资料来源:公司公告、Solarzoom,华安证券研究所 HJT 路线各厂商远期产能规划可观。路线各厂商远期产能规划可观。2022 年底我国 HJT 产能将超 10GW,2023 年产能落地规划已超 6

84、0GW,海外厂商扩产计划亦持续公布。根据 CPIA 数据,到 2025年,HJT 电池占比将接近 20%。HJT 电池工艺有别于旧产能,需要对应新设备贡献产能,产业化进程提速为对应设备厂商提供更为广阔的市场空间。图表图表 3838 主要厂商主要厂商 HJTHJT 电池远期产能规划电池远期产能规划 主要厂商主要厂商 2022 年年产能预计产能预计 2023 年年产能预计产能预计 产能说明及规划情况产能说明及规划情况 华晟新能源 2.7GW 7.5GW 安徽宣城 7.5GW 异质结全产业链项目开工,三期 4.8GW 双面微晶异质结项目预计 2023年投产;无锡 5GW 异质结电池及组件项目已开工;

85、大理 5GW双面微晶高效异质结电池与组件项目(分 2 期建设)已奠基。“十四五”期间总产能规划达 20GW 东方日升 0.5GW 9.5GW 江苏金坛 4GW 异质结电池+6GW 异质结组件项目正在有序推进中。浙江宁海规划 15GW HJT 电池+组件,其中一期 5GW HJT 电池+10GW 组件项目在建(定增募投项目),预计 2023 年 4 月全部投产。爱康科技 2.4GW 10GW 通过湖州、赣州、苏州、泰州、舟山、温州六大基地的布局,2022 年有望实现 8.27GW电池、9.4GW 高效组件产能释放,2025 年累计完成 40GW 以上高效太阳能电池及组件的产能建设 华耀光电 或

86、10GW 2022 年 6 月 30 日,华耀光电位于常州金坛区的 10gw N型高效光伏组件及 10gw 异质结电池项目已正式开工;呼和浩特 10GW 光伏 N 型电池片生产项目,一期 2GW 预计2023H1 开工建设,2024 年投产,二期 8GW预计 2024 年开工建设,2026 年投产 泉为新能源 2GW 拟建设年产 15GW 异质结(HJT)电池、15GW 异质结(HJT)光伏组件及 5GWh 储能产品项目。项目计划分两期实施,一期建设 2GW 异质结组件和 1GWh 储能产品生产线,二期拟于 2023 年上半年开工建设 敬请参阅末页重要声明及评级说明 2222/3636 证券研

87、究报告 微导纳米微导纳米(688147688147)宝馨科技 2GW 怀远 2GW 光伏异质结电池组件项目已进入厂房建设阶段。鄂托克经济开发区投建 2GW 光伏异质结电池、2GW 光伏异质结组件项目,建设期限为2023 年 4 月至 2024 年 4 月。国润能源 或 3GW 国润能源集团 3GW 异质结电池智能制造产业项目于 2022 年 6 月在尚义县开工 金刚光伏 1.2GW 6GW 在原有 1.2GW 异质结光伏项目投建基础上,于酒泉扩建异质结电池片及组件产能4.8GW,预计一期 2.4GW 项目于 2023 年一季度进线投产,远期合计 6GW 以上 隆基绿能 1.1GW 1.2GW

88、于西咸新区建设 1.2GW 新型高效电池中试项目 通威股份 1.4GW 1.4GW 2021 年 1GW 异质结中试线调试完毕,根据研发进展情况规划后续异质结产能 明阳智能 或 2GW 规划建设 5GW 光伏高效电池&组件项目(异质结路线),拟分为 1+1+3GW,三期建设 资料来源:招股说明书,华安证券研究所 相比相比TOPCon电池片产线,电池片产线,HJT产线对薄膜沉积设备投资额占比提升明显。产线对薄膜沉积设备投资额占比提升明显。HJT电池整体结构变化较大,其制造环节只需 4 大类设备,分别是制绒清洗设备(投资占比10%)、非晶硅沉积设备(投资占比 50%)、透明导电薄膜设备(投资占比

89、25%)和印刷设备(投资占比 15%),其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积设备。根据 CPIA 数据,截至 2021 年,HJT 设备投资额约为 4 亿元/GW,核心层沉积设备大约占整条 HJT 生产线资本支出的一半。图表图表 3939 异质结电池量产主要工序异质结电池量产主要工序 资料来源:钧石能源公告、华安证券研究所整理 本征非晶硅层:本征非晶硅层:目前 PECVD、CAT-CVD 为沉积 HJT 结构核心层的主要设备。PECVD 是当下最主要应用的技术,公司 PECVD 技术可以应用于该环节。CAT-CVD(催化化学气相沉积)也是广泛应用的核心层沉积技术,由于所处的环

90、境没有离子轰击,得到的薄膜质量较高,但提高 CAT-CVD 的产量并不容易,而且设备也不易操作,自动化成本高。由于垂直加工的设计,破损率也很高。TCO 层:层:主要设备为 PVD 设备,捷佳伟创导入 RPD 设备,公司 ALD 设备也储备用于该环节。图表图表 4040 公司公司 HJTHJT 相关的研发项目相关的研发项目 项目名称项目名称 20222022 年年 1 1-6 6 月月 20212021 年度年度 20202020 年度年度 20192019 年度年度 合计合计 预算预算 进度进度 高效 HJT 太阳能电池用PECVD 镀膜系统关键技术开发-157.2 699.95 857.16

91、 2,840.00 已结项 资料来源:公司公告、华安证券研究所整理 敬请参阅末页重要声明及评级说明 2323/3636 证券研究报告 微导纳米微导纳米(688147688147)2.6 布局钙钛矿电池,不断加强技术储备布局钙钛矿电池,不断加强技术储备 钙钛矿太阳能电池(钙钛矿太阳能电池(PSCs)单结理论极限效率超)单结理论极限效率超 31%,叠层模式下可达,叠层模式下可达 50%,相,相比晶硅电池效率提升空间大。比晶硅电池效率提升空间大。钙钛矿电池结构为钙钛矿层夹在电子传输层和空穴传输层(中间,构成三明治结构,外层是电极层。常见的钙钛矿电池结构有正式结构(也称 n-i-p 型)结构和反式结构

92、(也称 p-i-n 型)两种。光照条件下,钙钛矿材料吸收光子,电子从价带跃迁到导带,随后以极快的速度注入到电子传输层 ETL,对应空穴被传输至空穴传输层HTL;然后电子和空穴被电极收集,接上负载后,电池便可对外做功;电子传输层一般为 n 型半导体,空穴传输层一般为 p 型半导体。2023 年多家企业的规划产能已达到年多家企业的规划产能已达到 GW 级。级。已有多家上市公司开始规划钙钛矿产能,包括协鑫光电,纤纳光电,极电光能等多家企业,并且多家企业的规划产能已达到GW 级,多家企业建设的钙钛矿光伏组件生产线也已经开始试生产。图表图表 4343 部分部分公司进行钙钛矿布局公司进行钙钛矿布局 企业企

93、业 在建项目在建项目 地点地点 规划产能规划产能 最新进展最新进展 转换效率转换效率 协鑫光电协鑫光电 100MW 钙钛矿生产线 江苏昆山 GW 级 投建的全球首条 100MW 量产线已在昆山完成厂房和主要硬件建设,计划 2022 年投入量产 18%(量产线目标),预计未来达到 25%纤纳光电纤纳光电 100MW 规模化生产线 浙江衢州 GW级 钙钛矿组件全球首发,全球首款钙钛矿商用组件成功交付,数量为 5000 片 21.8,19.35cm2 极电光能极电光能 150M 的钙钛矿光伏组件生产线 江苏无锡 GW 级 极电光能投资建设的 150MW 全球最大的钙钛矿光伏组件生产线已经开始试生产,

94、全球首条 GW 级钙钛矿光伏生产线已签约落地无锡,并将于 2023 年初启动建设。18.2%(300cm大尺寸组件)无限光能无限光能 100MV 商业化量产线/公司预计将在三季度完成试验线建设,年内实现大尺寸电池模组批量下线 目标效率大于 20%仁烁光能仁烁光能 150MW 的量产线 江苏苏州/目前仁烁光能与常熟经济开发区已签署产业落地项目协议 全钙钛矿叠层电池组件稳效率达24.50%资料来源:普乐科技 POPSOLAR、华安证券研究所整理 根据协鑫光电公布工艺,钙钛矿电池组件产线主要设备分为四部分:PVD 设备、涂布设备、激光设备及封装设备,其中镀膜设备占据重要位置。镀膜设备主要用于制备阳极

95、缓冲层、阴极缓冲层、背电极。PVD 包括蒸镀、溅射镀和离子镀等,PVD 在整套图表图表 41 钙钛矿钙钛矿电池电池结构结构示意示意图图 图表图表 42 不同类型太阳能电池理论极限电能转换效率不同类型太阳能电池理论极限电能转换效率 资料来源:CNKI_钙钛矿太阳能电池电子传输层的研究进展_韩飞等,华安证券研究所 资料来源:光伏产业通,华安证券研究所 24.50%29%27.50%29.43%31%50%0%10%20%30%40%50%60%敬请参阅末页重要声明及评级说明 2424/3636 证券研究报告 微导纳米微导纳米(688147688147)设备成本中大概占 50%左右。图表图表 444

96、4 协鑫光电公布的协鑫光电公布的钙钛矿钙钛矿工艺流程图工艺流程图 资料来源:协鑫光电、华安证券研究所整理 在不同结构的钙钛矿电池生产流程中,在不同结构的钙钛矿电池生产流程中,ALD 设备均有应用。设备均有应用。由于原子层沉积技术具有自限制反应和逐层生长等特点,与热蒸镀、溅射和 CVD 等方法相比,具有衬底温度较低、可精确控制膜厚、大面积生长、薄膜均匀性好、三维保形性好等特点。公司根据下游厂商在钙钛矿叠层电池的量产节奏完善相关的技术储备和产品。功能层:功能层:ALD 可以用于 TCO 等功能层制备;缓冲层:缓冲层:ALD 可在金属电极和钙钛矿活性层之间沉积致密且电学性能良好的缓冲层(SnO2和

97、TiO2等薄膜)。金属电极与卤素之间的扩散反应是造成钙钛矿太阳电池衰退的重要因素。另外其与柔性基底、器件完全兼容,所沉积的薄膜具有更好的致密性,非常适合于缓冲层的制备。公司积极布局,并与上下游以及国内外顶尖研究机构合作开发钙钛矿关键镀膜技术和装备。封装:封装:ALD 薄膜封装制备的薄膜具有致密、可精确控制、能大面积制造和对水阻隔能力强等优点,对器件活性层性能与稳定性产生积极的影响,如常见的 Al2O3层,适用于钙钛矿电池的封装。图表图表 45 ALD 缓冲层在钙钛矿电池结构中的位置缓冲层在钙钛矿电池结构中的位置 图表图表 46 钙钛矿钙钛矿结构示意图结构示意图(ALD-Al2O3封装层封装层)

98、资料来源:SnO2 和 TiO2 薄膜在钙钛矿材料上的原子层沉积工艺、华安证券研究所整理 资料来源:钙钛矿光伏电池封装材料与工艺研究进展、华安证券研究所整理 敬请参阅末页重要声明及评级说明 2525/3636 证券研究报告 微导纳米微导纳米(688147688147)3 半导体半导体:ALD 技术中的国产替代先行者技术中的国产替代先行者 3.1 需求与国产替代共振,国产需求与国产替代共振,国产 ALD 设备市场快速增长设备市场快速增长 我国为全球最大的半导体设备市场。我国为全球最大的半导体设备市场。随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的

99、增加。根据 SEMI 的数据,2021 年全球半导体设备销售额达到了 1026.4 亿美元,相较于 2020 的 711.9 亿美元增长了 44%,其中中国大陆在其中中国大陆在 2021 年第二次成为了半导体设备的最大市场,销售额达年第二次成为了半导体设备的最大市场,销售额达到了到了 296.2 亿美元亿美元,相较于,相较于 2020 年的年的 187.2 亿美元增长了亿美元增长了 58%。图表图表 4747 全球及中国大陆半导体设备市场规模(亿美元)全球及中国大陆半导体设备市场规模(亿美元)资料来源:SEMI,华安证券研究所 半导体设备主要分为晶圆设备、封装设备和测试设备三大类,其中晶圆设备

100、又以薄膜沉积设备、刻蚀设备和光刻机为主。根据 Maximize Market Research 数据统计,全球半导体薄膜沉积设备市场规模从 2017 年的 125 亿美元扩大至 2020 年的 172 亿美元,年复合增长率为 11.2%,预计至 2025 年市场规模可达 340 亿美元。2021 年中国半导体设备市场,晶圆设备占总比值超过 80%,其中薄膜沉积设备的占比为 18.92%,据此测算2021 年中国薄膜沉积设备市场规模大约为年中国薄膜沉积设备市场规模大约为 48.2 亿美元亿美元。图表图表 4820212021 年中国半导体设备市场规模占比情况年中国半导体设备市场规模占比情况 图表

101、图表 49 全球半导体薄膜沉积设备市场规模(亿美元全球半导体薄膜沉积设备市场规模(亿美元)资料来源:智研咨询、华安证券研究所 资料来源:Maximize Market Research,公司招股书,华安证券研究所 先进制程增加先进制程增加,薄膜要求提高薄膜要求提高,ALD 设备市场空间占设备市场空间占比比有望提升有望提升。随着集成电路0%5%10%15%20%25%30%35%02004006008001,0001,2002002020212022Q3全球中国大陆中国大陆占比(右轴)其他0.40%测试设备6.60%封装设备7.00%薄膜沉积设备18.92%刻蚀设备18.9

102、2%光刻机17.20%其他设备30.96%晶圆设备86.00%0%5%10%15%20%25%0020020 2021E2022E2023E2024E2025E市场规模YOY(右轴)敬请参阅末页重要声明及评级说明 2626/3636 证券研究报告 微导纳米微导纳米(688147688147)制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,在半导体制程进入 28nm 后,器件结构不断缩小且更为 3D 立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面均匀镀膜,并且由于芯片的线

103、宽越来越窄、结构越来越复杂,薄膜性能参数精细化要求也随之提高,在此背景下,ALD 技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。图表图表 50 2019 年半导体薄膜沉积设备占比年半导体薄膜沉积设备占比 图表图表 51 2020 年半导体年半导体薄膜沉积设备占比薄膜沉积设备占比 资料来源:Gartner,公司招股书,华安证券研究所 资料来源:Gartner,公司招股书,华安证券研究所 半导体薄膜沉积设备半导体薄膜沉积设备进口替代空间大。进口替代空间大。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局

104、面,行业基本由应用材料(AMAT)、ASMI、泛林半导体(Lam)、东京电子(TEL)等国际巨头占据大部分份额。半导体薄膜沉积设备国产化率 2020 年为 8%。2019 年,ALD 设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据。中国制造 2025对于半导体设备国产化提出明确要求:在2020年之前,90-32nm工艺设备国产化率达到50%;在 2025年之前,20-14nm工艺设备国产化率达到 30%。薄膜沉积设备作为半导体制造的核心设备,将会迎来巨大的进口替代市场空间。图表图表 5252 2 2019019 年全球

105、年全球 ALDALD 市场竞争格局市场竞争格局 资料来源:Gartner,拓荆科技招股说明书,华安证券研究所 我们预计 2022/2023/2024 我国国产 ALD 设备规模分别为 5.21/6.26/9.59 亿元,yoy+37.42%/20.15%/53.38%。随着我国半导体设备市场需求量增长及国产化率提升,国产 ALD 设备市场空间不打断开,带来领先 ALD 设备公司机会。PECVD33%PVD23%ALD11%其它33%PECVD34%PVD21%ALD12.80%其它32.20%TEL 31%ASM 29%其它 40%敬请参阅末页重要声明及评级说明 2727/3636 证券研究报

106、告 微导纳米微导纳米(688147688147)图表图表 5353 我国国产我国国产 ALDALD 设备市场空间测算设备市场空间测算 项目项目 20212021 2022E2022E 2023E2023E 2024E2024E 全球半导体设备销售额(亿美元)全球半导体设备销售额(亿美元)1,026.4 1,085.4 912.0 1,071.6 yoyyoy 44.00%5.75%-15.98%17.50%大陆半导体设备销售额设备占比大陆半导体设备销售额设备占比 28.86%30.00%33.00%35.00%大陆半导体设备销售额(亿美元)大陆半导体设备销售额(亿美元)296.2 325.6

107、301.0 375.1 大陆半导体设备销售额(亿元)大陆半导体设备销售额(亿元)1,925.3 2,116.5 1,956.2 2,437.9 yoyyoy 9.93%-7.57%24.62%薄膜沉积设备占比薄膜沉积设备占比 18.92%18.92%18.92%18.92%ALDALD 设备占薄膜沉积设备比例设备占薄膜沉积设备比例 13%13%13%13%中国中国 ALDALD 设备市场规模(亿元)设备市场规模(亿元)47.3547.35 52.0652.06 48.1248.12 59.9659.96 yoyyoy 44.00%44.00%9.93%9.93%-7.57%7.57%24.62

108、%24.62%国产化率假设(亿元)国产化率假设(亿元)8%10%13%16%中国中国 ALDALD 国产设备规模(亿元)国产设备规模(亿元)3.793.79 5.215.21 6.266.26 9.599.59 yoyyoy 44.00%44.00%37.42%37.42%20.15%20.15%53.38%53.38%资料来源:SEMI,华安证券研究所 3.2 逻辑芯片:逻辑芯片:国产首家国产首家突破突破 28nm 制程制程 High-k 材料沉积材料沉积技技术术 高端制程下对高端制程下对 High-k材料的需求导入材料的需求导入 ALD沉积技术。沉积技术。进入 45nm制程特别是 28nm

109、之后,传统的 SiO2栅介质层薄膜材料厚度需缩小至 1 纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加,器件性能急剧恶化,已不能满足技术发展的要求。而高 k(介电常数)氧化物作为栅介质层,可以在降低等效氧化物厚度(EOT)的同时,抑制漏电流的产生。由于高 k 的栅介质层厚度往往小于 10nm,所需的膜层很薄(通常在数纳米量级内),Intel公司在半导体工业45nm以后,随着高介电材料的应用,引入了 ALD 技术沉积高介电材料。图表图表 5454 英特尔首先在英特尔首先在 4 45 5nmnm 制程中提出制程中提出 HighHigh-k k 技术技术 资料来源:中关村在线

110、,华安证券研究所 公司是国内首家成功将量产型公司是国内首家成功将量产型 High-k 原子层沉积设备应用于原子层沉积设备应用于 28nm 节点集成电路节点集成电路制造前道生产线的国产设备公司。制造前道生产线的国产设备公司。传统工艺中,由于存在厚度控制和膜层均匀性的问题,国内集成电路制造厂商通过 CVD工艺所生成的膜很难突破28nm技术节点器件结构变化所带来的极限,且在较高深宽比的三维结构上较难保证 100%的阶梯覆盖率。而 ALD工艺可以在 100%阶梯覆盖率的基础上实现原子层级(1 个纳米为 10 个原子)的薄膜厚度。公司 ALD 设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备

111、的高 k 敬请参阅末页重要声明及评级说明 2828/3636 证券研究报告 微导纳米微导纳米(688147688147)材料 HfO2较好的满足了 28nm逻辑器件制造过程的需要。设备总体表现和工艺关键性能参数达到国际同类水平,并已获得客户重复订单认可,成功解决了一项半导体设备“卡脖子”难题。图表图表 5555 公司公司逻辑芯片领域应用产品和研发项目逻辑芯片领域应用产品和研发项目情况情况(截至(截至 2 2022022 年年 1 11 1 月月 2 2 日)日)产品系列产品系列 设备类型设备类型 目前应用领域目前应用领域 产业化阶段产业化阶段 凤凰(P)系列 原子层沉积镀膜系统 TALD Hf

112、O2 工艺 逻辑芯片高 k 栅介质层 产业化应用 龙(Dragon)系列真空传输 系统 真空传输系统 半导体设备晶圆传输平台系统 产业化应用 名称名称 拟达到的目标拟达到的目标 应用领域应用领域 所处阶段所处阶段 半导体制造 ALD 设备平台 开发具有国际水平的半导体制造 ALD 设备产品及配套工艺平台 半导体等领域 开发实现阶段 基于 300mm 晶圆半导体制造高产能自动化真空传输技术的研究与产业化 开发具有自主知识产权的原子层沉积团簇平台,是生产 ALD 和其它 10nm 以下的工艺腔体必备的低微尘、高产能的晶圆传输平台 半导体等领域 开发实现阶段 28nm 及以下技术节点高介质栅氧及金属

113、栅工艺技术和装备的国产化 开发用于先进芯片制造高介电常数(High-k)材料的原子层沉积(ALD)设备及工艺 半导体等领域 开发实现阶段 资料来源:公司招股说明书,华安证券研究所整理 3.3 存储芯片存储芯片:精细化镀膜适应先进存储技术需求精细化镀膜适应先进存储技术需求 在存储芯片领域,5G、物联网、数据中心等新一代信息技术的大规模开发及应用催生了国内对半导体存储器的强劲需求,目前我国半导体存储器市场规模约占全球市场规模的 30%,但存储器产品主要依赖进口,替代空间巨大。从细分市场来看,在半导体存储市场中,DRAM 和 NAND Flash 占据主导地位。2021 年全球半导体存储器市场中 D

114、RAM 占比达 56%,NAND Flash 约占 41%,NOR Flash约占2%,包括EEPROM、EPROM、ROM、SRAM等在内的其他存储器约占1%。图表图表 56 全球及中国存储芯片市场规模(亿美元)全球及中国存储芯片市场规模(亿美元)图表图表 57 全球全球 2021 年半导体存储器市场结构年半导体存储器市场结构 资料来源:产业信息网,观研天下,华安证券研究所 资料来源:CINNO,中商产业研究院,华安证券研究所 ALD 可以用于新型存储器发展方向:可以用于新型存储器发展方向:(1)目前主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增高,元器件逐步

115、呈现高密度、高深宽比结构,支持在更小的空间内容纳更高存储容量,进而带来成本节约、能耗降低。由于 ALD 独特的技术优势,在每个周期中生长的薄膜05001,0001,5002,0002001920202021 2022E全球存储芯片市场规模中国存储芯片市场规模56%41%2%1%DRAMNAND FlashNOR Flash其他 敬请参阅末页重要声明及评级说明 2929/3636 证券研究报告 微导纳米微导纳米(688147688147)厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满足器件尺寸不断缩小和结构 3D 立体化对于薄膜沉积工序中薄膜的厚度、三

116、维共形性等方面的更高要求,ALD 技术愈发体现出举足轻重的作用。(2)铁电存储(FeRAM)产品将 ROM 的非易失性数据存储特性和 RAM 的无限次读写、高速读写以及低功耗等优势结合在一起。公司 ALD 设备沉积的 HfO2、ZrO2、La2O3 以及互相掺杂沉积工艺可用于新型存储器如铁电存储芯片的电容介质层。图表图表 58 2D NAND 2D NAND 与与 3D NAND 3D NAND 结构简图结构简图 图表图表 59 铁电存储器原理铁电存储器原理 资料来源:拓荆科技招股说明书,华安证券研究所 资料来源:电子爱好者,华安证券研究所 图表图表 60 公司存储芯片领域应用产品和研发项目情

117、况(截至公司存储芯片领域应用产品和研发项目情况(截至 2022 年年 11 月月 2 日)日)产品系列产品系列 设备类型设备类型 镀膜工艺镀膜工艺 目前应用领域目前应用领域 产业化阶段产业化阶段 凤凰(P)系列原子层沉积镀膜系统 TALD HfO2 工艺 存储芯片高 k 栅电容介质层(单元和多元掺杂介质层)产业化验证 ZrO2 工艺 La2O3 工 艺 TiO2 工艺 存储芯片高 k 栅介质覆盖层 产业化验证 名称名称 拟达到的目标拟达到的目标 应用领域应用领域 所处阶段所处阶段 尖端存储器制造关键低温工艺及装备的研究与产业化 研发工艺用高生产率配置 ALD 系统,采用新 ALD 循环掺杂比例

118、的技术,将多元系氧化物的组成比控制在个别应用领域元件所需的组合比,提供了解决目前铁电存储器(FeRAM)和铁电场效应晶体管(FeFET)器件制造方案 开发实现阶段 半导体等领域 资料来源:公司招股说明书,华安证券研究所整理 3.4 新型显示新型显示:mini LED/OLED 等广泛布局等广泛布局 近年 LED 行业逐步进入结构性深度调整阶段,随着落后产能淘汰、高端 LED 应用的技术壁垒提升以及龙头企业规模优势显现,龙头企业的行业地位进一步稳固。2021年,三安光电、华灿光电两家企业分别占据中国 LED 芯片产能的 31.7%、14.3%,产能占比将近市场的一半。TEL31%ASM29%其它

119、40%敬请参阅末页重要声明及评级说明 3030/3636 证券研究报告 微导纳米微导纳米(688147688147)图表图表 61 中国中国 LEDLED 芯片产值(亿元)芯片产值(亿元)图表图表 62 中国中国 LED 芯片产能分布芯片产能分布 资料来源:中商情报网,华安证券研究所 资料来源:中商情报网,华安证券研究所 在新型显示行业,目前主流应用的显示技术为LCD和OLED,在海兹定律驱动下,从中长期看,新型显示技术如柔性电子、Mini/Micro LED 市场规模将快速提升。早期的LED加工工艺要求的精密度无法与集成电路相比,防水汽与防氧化也没有OLED那么严格,但随着芯片尺寸持续缩小的

120、趋势与高功率密度芯片级别封装 CSP 的兴起,ALD 技术优势逐渐体现,并进入新型显示行业的视线,ALD 技术的市场需求将进一步扩大。图表图表 63 中国中国 MiniMini LEDLED 市场规模(亿元)市场规模(亿元)图表图表 64 中国中国 Mini LED 市场份额市场份额 资料来源:中商情报网,华安证券研究所 资料来源:中商情报网,华安证券研究所 在柔性电子领域,ALD 膜层拥有高密度、无针孔、保型性能好、绝缘、阻水阻氧等特点,公司自主开发的 FG系列卷对卷 ALD设备能够在大幅宽的材料表面沉积高性能阻隔层,具备良好的阻水阻氧能力,并能够有效保护 OLED器件的性能和寿命。公司也在

121、积极布局新一代化合物半导体 Mini LED 显示技术,该技术目前处于开发试验阶段。05003003502002020212022E31.70%14.30%12.40%10.60%9.60%6.80%14.60%三安光电华灿光电兆驰股份乾照光电蔚蓝锂芯聚灿光电其他050030035040045050020022E2026E70.94%18.25%5.20%2.11%1.89%1.89%TCL三星华为长虹康佳其他 敬请参阅末页重要声明及评级说明 3131/3636 证券研究报告 微导纳米微导纳米(688147

122、688147)图表图表 6565 公司公司新型显示方向产业化及研发新型显示方向产业化及研发情况情况 产品系列 设备类型 镀膜工艺 目前应用领域 产业化阶段 麒麟(QL)系列原子层沉积镀膜系统 TALD Al2O3和 TiO2工艺 硅基微型显示芯片阻水阻氧保护层 产业化验证 名称名称 拟达到的目标拟达到的目标 所处阶段所处阶段 应用领域应用领域 新一代化合物半导体 Mini LED显示技术关键工艺技术研发及产业化 本项目研发的针对新一代化合物半导体 Mini LED显示技术的设备可用于各类高、低温薄膜工艺应用,特别是氮化硅工艺,能够全面满足300mm/200mm晶圆的薄膜沉积工艺需求,为先进逻辑

123、芯片、存储芯片、先进封装等提供介质层、图案化等关键工艺解决方案 开发实现阶段 新型显示领域 先进化合物半导体及微机电关键工艺及产业化应用 开发 6/8寸单片 ALD系统,用于特殊半导体器件、MEMS、光电器件及化合物半导体器件等行业应用 开发实现阶段 化合物半导体和微机电领域 资料来源:公司招股说明书,华安证券研究所整理 敬请参阅末页重要声明及评级说明 3232/3636 证券研究报告 微导纳米微导纳米(688147688147)4 投资建议投资建议 4.1 基本假设与营业收入预测基本假设与营业收入预测 基本假设:基本假设:一、一、光伏设备光伏设备 随着 ALD 设备在 TOPCon 及 IB

124、C 领域的持续拓展,及 PEALD 二合一设备的市场开拓,并且在 HJT钙钛矿等新领域的布局,公司光伏设备收入持续高增。我们假设光伏 设 备 业 务2022-2024年 实 现 收 入5.32/15.35/19.79亿 元,毛 利 率37.00%/36.85%/36.70%。二、二、半导体设备半导体设备 公司 ALD 设备在逻辑芯片、存储芯片、新型显示等泛半导体领域持续拓展,考虑半导体设备验证周期更长,我们假设 2022-2024 年实现收入 0.4/1/2 亿元,毛利率稳中有降为 52.15%/52.10%/52.05%。三、三、配套产品及服务配套产品及服务 公司配套产品及服务随着产品销售规

125、模增长。我们假设 2022-2024 年收入0.30/0.33/0.36 亿元,毛利率保持在 72.00%。图表图表 66 公司营业收入预测公司营业收入预测 项目项目 2021A2021A 2022E2022E 2023E2023E 2024E2024E 光伏设备 收入(亿元)2.75 5.32 15.35 19.79 yoy-8.03%93.28%188.76%28.91%收入占比 64.27%88.36%92.03%89.33%成本(亿元)1.85 3.35 9.69 12.52 毛利率 32.86%37.00%36.85%36.70%半导体设备 收入(亿元)0.252 0.4 1 2 y

126、oy 58.73%150.00%100.00%收入占比 5.89%6.65%6.00%9.03%成本(亿元)0.12 0.19 0.48 0.96 毛利率 52.20%52.15%52.10%52.05%配套产品及服务 收入(亿元)1.27 0.30 0.33 0.36 yoy 854.06%-76.38%10.00%10.00%收入占比 29.69%4.99%1.98%1.64%成本(亿元)0.35 0.08 0.09 0.10 毛利率 72.68%72.00%72.00%72.00%总计 收入(亿元)4.28 6.02 16.68 22.15 yoy 0.41 1.77 0.33 成本(亿

127、元)2.31 3.62 10.26 13.58 毛利率 45.93%39.75%38.46%38.66%资料来源:华安证券研究所整理 4.2 估值和投资建议估值和投资建议 我们预测公司 2022-2024年营业收入分别为 6.02/16.68/22.15亿元,归母净利润分别为0.31/1.26/2.59亿元,2021-2024年归母净利润CAGR为78%,以当前总股本4.54亿股计算的摊薄 EPS 为 0.07/0.28/0.57 元。敬请参阅末页重要声明及评级说明 3333/3636 证券研究报告 微导纳米微导纳米(688147688147)公司当前股价对 2022-2024 年预测 EPS

128、 的 PE 倍数分别为 463/113/55 倍,我们选取光伏及半导体领域镀膜设备领军公司作为可比公司,考虑到公司在 ALD 领域具有领先优势,且在光伏及半导体领域拓展具备较大业绩弹性,首次覆盖给予“增持”评级。图表图表 67 可比公司估值可比公司估值 公司代码公司代码 公司名称公司名称 收盘价收盘价 EPSEPS PEPE 市值市值 20 净净利润利润 CAGRCAGR 2022023 3/1/1/2020 21A21A 22E22E 23E23E 24E24E 21A21A 22E22E 23E23E 24E24E 亿元亿元 (2022023 3/1/1/20

129、20)300751.SZ 迈为股份 445.5 6.3 5.3 8.8 13.0 71 84 51 34 775.5 28%300724.SZ 捷佳伟创 119.0 2.1 2.9 3.8 4.9 56 42 31 24 414.3 32%688072.SH 拓荆科技-U 258.8 0.7 2.1 3.2 4.6 359 126 82 56 327.3 86%002371.SZ 北方华创 245.7 2.1 4.0 5.4 7.3 114 62 45 34 1,298.6 50%平均值-2.8 3.5 5.3 7.5 150 78 52 37 703.9 49%688147.SH 微导纳米

130、31.2 0.1 0.1 0.3 0.6 307 463 113 55 141.8 78%资料来源:Wind 一致预期,华安证券研究所 注:可比公司盈利预测来自 WIND 一致预期。敬请参阅末页重要声明及评级说明 3434/3636 证券研究报告 微导纳米微导纳米(688147688147)风险提示风险提示 1.光伏光伏及半导体及半导体行业后续扩产不及预期的风险。行业后续扩产不及预期的风险。受宏观环境、政策等因素影响,如果光伏及半导体行业后续扩产不及预期,将对公司业绩产生不利影响。2.技术迭代带来的创新风险。技术迭代带来的创新风险。光伏和半导体行业的整体都在不断的技术创新与迭代过程中,若后续公

131、司产品创新与下游的技术路线变更不匹配,将会对公司业绩产生不利影响。3.国内市场竞争加剧的风险。国内市场竞争加剧的风险。近年来ALD技术因其良好的市场空间和丰富的应用场景受到关注,未来随着国内竞争企业的增加,可能压缩公司的利润空间,并导致公司市场份额下滑,对公司生产经营产生不利影响。4.核心技术人员流失或不足的风险。核心技术人员流失或不足的风险。若无法持续吸引相关领域的顶尖人才加盟,公司将面临技术人才不足的风险。在行业高速发展、国产替代趋势加快的大背景下,甚至有可能发生现有核心技术人员流失的情形,对公司的产品研发与盈利能力产生不利影响。5.测算市场空间的误差风险。测算市场空间的误差风险。6.研究

132、依据的信息更新不及时,未能充分反映公司最新状况的风险。研究依据的信息更新不及时,未能充分反映公司最新状况的风险。敬请参阅末页重要声明及评级说明 3535/3636 证券研究报告 微导纳米微导纳米(688147688147)财务报表与盈利预测:财务报表与盈利预测:资产负债表利润表资产负债表利润表会计年度会计年度20212022E2023E2024E20212022E2023E2024E会计年度会计年度20212022E2023E2024E20212022E2023E2024E流动资产流动资产1,2762,0893,6254,8981,2762,0893,6254,898营业收入营业收入42860

133、21,6682,2154286021,6682,215 现金1营业成本2323621,0261,359 应收账款8192284358营业税金及附加241214 其他应收款16710销售费用3442100111 预付账款10295071管理费用263692111 存货4036291,7822,359财务费用231638 其他流动资产6636071,3341,879资产减值损失(13)(14)(13)(14)非流动资产非流动资产890123154公允价值变动收益1000 长期投资0000投资净收益11456 固定资产36395876营业利润营业利润403

134、227258 无形资产891317营业外收入1010 其他非流动资产36415261营业外支出0000资产总计资产总计1,3572,1793,7475,0521,3572,1793,7475,052利润总额利润总额432128258流动负债流动负债4461,1922,6353,6934461,1922,6353,693所得税(5)12(1)短期借款675008511,218净利润净利润463126259 应付账款6少数股东损益0000 其他流动负债2594481,0941,479归属母公司净利润归属母公司净

135、利润463126259非流动负债非流动负债2727272727272727EBITDA5641151307 长期借款0000EPS(元)0.100.070.280.57 其他非流动负债27272727负债合计负债合计4731,2192,6623,7204731,2192,6623,720主要财务比率主要财务比率 少数股东权益0000会计年度会计年度20212022E2023E2024E20212022E2023E2024E 股本409454454454成长能力成长能力 资本公积354354354354营业收入36.91%40.57%177.27%32.80%留存收益121

136、151277524营业利润-32.66%-21.10%298.98%102.61%归属母公司股东权益8839601,0851,332归属于母公司净利润-19.12%-33.64%310.44%106.57%负债和股东权益负债和股东权益1,3572,1793,7475,0521,3572,1793,7475,052获利能力获利能力毛利率(%)45.77%39.75%38.46%38.66%现金流量表现金流量表净利率(%)10.78%5.09%7.53%11.71%会计年度会计年度20212022E2023E2024E20212022E2023E2024EROE(%)5.22%3.19%11.57

137、%19.48%经营活动现金流经营活动现金流(76)(76)141141(858)(227)(858)(227)ROIC(%)5.99%2.86%8.45%12.80%净利润4631126259偿债能力偿债能力 折旧摊销136811资产负债率(%)34.89%55.96%71.04%73.63%财务费用331638净负债比率(%)-6.06%-23.54%63.01%74.77%投资损失(11)(4)(5)(6)流动比率2.861.751.381.33营运资金变动(393)105(1,002)(528)速动比率1.961.220.700.69 其他经营现金流266(1)(1)0营运能力投资活动现

138、金流营运能力投资活动现金流(512)(11)(36)(35)总资产周转率0.320.280.450.44 资本支出(25)(11)(38)(38)应收账款周转率7.316.988.876.90 长期投资773000应付账款周转率1.651.992.201.61 其他投资现金流(1,260)(0)23每股指标(元)筹资活动现金流每股指标(元)筹资活动现金流232476335317每股收益(最新摊薄)0.100.070.280.57 短期借款25433351367每股经营现金流(最新摊薄)(0.17)0.31(1.89)(0.50)长期借款16000每股净资产(最新摊薄)1.942.112.392

139、.93 普通股增加3594500估值比率估值比率 资本公积增加(167)000P/E307.5463.3112.954.6 其他筹资现金流0(3)(16)(50)P/B16.014.813.110.6现金净增加额现金净增加额(356)606(559)55EV/EBITDA10.6514.333.921.93单位:百万元单位:百万元单位:百万元资料来源:WIND,华安证券研究所 敬请参阅末页重要声明及评级说明 3636/3636 证券研究报告 微导纳米微导纳米(688147688147)分析师与研究助理简介分析师与研究助理简介 分析师:分析师:张帆,华安机械行业首席分析师,机械行业从业 2 年,

140、证券从业 14 年,曾多次获得新财富分析师。分析师分析师:徒月婷,华安机械行业分析师,南京大学金融学本硕,曾供职于中泰证券、中山证券。重要声明重要声明 分析师声明分析师声明 本报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格,以勤勉的执业态度、专业审慎的研究方法,使用合法合规的信息,独立、客观地出具本报告,本报告所采用的数据和信息均来自市场公开信息,本人对这些信息的准确性或完整性不做任何保证,也不保证所包含的信息和建议不会发生任何变更。报告中的信息和意见仅供参考。本人过去不曾与、现在不与、未来也将不会因本报告中的具体推荐意见或观点而直接或间接收任何形式的补偿,分析结论不受任何第三方的

141、授意或影响,特此声明。免责声明免责声明 华安证券股份有限公司经中国证券监督管理委员会批准,已具备证券投资咨询业务资格。本报告中的信息均来源于合规渠道,华安证券研究所力求准确、可靠,但对这些信息的准确性及完整性均不做任何保证。在任何情况下,本报告中的信息或表述的意见均不构成对任何人的投资建议。在任何情况下,本公司、本公司员工或者关联机构不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者务必注意,其据此做出的任何投资决策与本公司、本公司员工或者关联机构无关。华安证券及其所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还

142、可能为这些公司提供投资银行服务或其他服务。本报告仅向特定客户传送,未经华安证券研究所书面授权,本研究报告的任何部分均不得以任何方式制作任何形式的拷贝、复印件或复制品,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。如欲引用或转载本文内容,务必联络华安证券研究所并获得许可,并需注明出处为华安证券研究所,且不得对本文进行有悖原意的引用和删改。如未经本公司授权,私自转载或者转发本报告,所引起的一切后果及法律责任由私自转载或转发者承担。本公司并保留追究其法律责任的权利。投资评级说明投资评级说明 以本报告发布之日起 6 个月内,证券(或行业指数)相对于同期相关证券市场代表性指数的涨跌幅作为

143、基准,A 股以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以恒生指数为基准;美国市场以纳斯达克指数或标普 500 指数为基准。定义如下:行业评级体系行业评级体系 增持未来 6 个月的投资收益率领先市场基准指数 5%以上;中性未来 6 个月的投资收益率与市场基准指数的变动幅度相差-5%至 5%;减持未来 6 个月的投资收益率落后市场基准指数 5%以上;公司评级体系公司评级体系 买入未来 6-12 个月的投资收益率领先市场基准指数 15%以上;增持未来 6-12 个月的投资收益率领先市场基准指数 5%至 15%;中性未来 6-12 个月的投资收益率与市场基准指数的变动幅度相差-5%至 5%;减持未来 6-12 个月的投资收益率落后市场基准指数 5%至 15%;卖出未来 6-12 个月的投资收益率落后市场基准指数 15%以上;无评级因无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使无法给出明确的投资评级。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(微导纳米-公司研究报告-专注ALD技术光伏和半导体双向高成长-230120(36页).pdf)为本站 (Seven seconds) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部