《微导纳米-公司投资价值分析报告:ALD技术平台型企业半导体CVD加持强化成长性-230707(65页).pdf》由会员分享,可在线阅读,更多相关《微导纳米-公司投资价值分析报告:ALD技术平台型企业半导体CVD加持强化成长性-230707(65页).pdf(65页珍藏版)》请在三个皮匠报告上搜索。
1、 敬请参阅最后一页特别声明-1-证券研究报告 2023 年 7 月 7 日 公司研究公司研究 ALDALD 技术平台型企业技术平台型企业,半导体,半导体 CVDCVD 加持强化成长性加持强化成长性 微导纳米(微导纳米(688147.SH688147.SH)投资价值分析报告)投资价值分析报告 增持(首次)增持(首次)公司公司是是 ALDALD 薄膜沉积薄膜沉积领先领先设备厂商设备厂商。依托 ALD 技术核心团队,专注先进微纳米薄膜沉积设备研发与产业化应用,构建以 ALD 技术为核心,外拓 CVD 等多种镀膜设备的产品体系,广泛应用于逻辑、存储、高效光伏电池、新型显示等领域,在半导体逻辑芯片、存储
2、芯片的 HKMG 工艺、光伏正面氧化铝钝化层上具备较强的领先优势。国产国产 ThermalThermal ALDALD 设备佼佼者,差异化竞争策略减少直接竞争对手设备佼佼者,差异化竞争策略减少直接竞争对手。公司是国内首家成功将量产型 TALD 设备应用于 28nm 逻辑芯片 high-k 栅介质层的设备厂,同时加快其他工艺段 TALD 与 PEALD 设备的研发验证,持续巩固竞争优势。公司已有多台 ALD 设备在不同工艺段验证,后续批量重复订单可期。CVD 系列设备以硬掩模工艺为切入点,部分产品目前处于客户试样验证阶段。截至 2023年 4 月 25 日,公司今年新签半导体设备订单 2.42
3、亿元,与 2022 年全年相当。ALDALD 为先进制程关键设备,为先进制程关键设备,未来几年复合增速超其他类型薄膜设备。未来几年复合增速超其他类型薄膜设备。ALD 技术因独特的自限性反应而具有超薄均匀镀膜、台阶覆盖率高、保形性优异的独特优势,在 28nm 以下逻辑芯片三维镀膜、高深宽比存储芯片薄膜沉积中具有无可比拟地位。美国对华出口管制升温,联合日荷对华封锁半导体先进制程设备,釜底抽薪倒逼我国重视供应链安全,下游晶圆厂国产设备验证意愿增强,打开验证窗口,推动半导体设备国产化水平提高,芯片微缩化发展中芯片微缩化发展中 ALDALD 设备是先进制设备是先进制程程核心设备核心设备,利好在关键工艺领
4、先的微导纳米,利好在关键工艺领先的微导纳米。据 SEMI 2021 年报告,CVD、PVD、ALD2020-2025 年市场规模年均复合增长率分别为 8.5%、8.9%和 26.3%。TOPConTOPCon 扩产元年,公司订单大放量,储备新电池设备技术以享受产业加速发扩产元年,公司订单大放量,储备新电池设备技术以享受产业加速发展红利。展红利。公司 ALD 设备为正面 Al2O3钝化层主流制备技术,打破 PECVD 垄断,在同类型产品中市占率全球第一,用于隧穿层与掺杂多晶硅层的 PEALD 二合一设备,SiNx 层的 PECVD 设备市场不断打开,与先导智能协同为客户供应整线。23 年以来 T
5、OPCon 扩产加速,截至 4 月 25 日公司今年新签光伏订单 20 亿元,超 22 年末在手订单。xBC 正面 Al2O3层实现产业化应用,HJT 透明导电层处于开发阶段,钙钛矿封装层已处于验证阶段,有望在下一代光伏电池新技术量产有望在下一代光伏电池新技术量产前前夕夕打入供应链。打入供应链。盈利预测、估值与评级:盈利预测、估值与评级:半导体 ALD 设备壁垒高,差异化布局 CVD 设备拓宽成长空间,国产化背景下加速验证,未来订单放量可期;光伏新技术迭代加速,订单获取能力强,基本盘稳固,可赋能半导体研发与产业化。我们预计公司 23-25E的归母净利润为 1.24/2.63/4.23 亿元,对
6、应 EPS 为 0.27/0.58/0.93 元,当前股价对应 PE 为 196/92/57x。公司半导体 ALD、CVD 处于加速验证与量产导入阶段,可享受一定的估值溢价,首次覆盖,给予“增持”评级。首次覆盖,给予“增持”评级。风险提示:风险提示:新产品验证进度不及预期,行业周期持续下行,国际贸易摩擦加剧新产品验证进度不及预期,行业周期持续下行,国际贸易摩擦加剧。公司盈利预测与估值简表公司盈利预测与估值简表 指标指标 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 营业收入(百万元)428 685 1,508 2,517 3,589 营业
7、收入增长率 36.91%59.96%120.29%66.94%42.58%净利润(百万元)46 54 124 263 423 净利润增长率-19.12%17.43%128.74%112.28%61.01%EPS(元)0.11 0.12 0.27 0.58 0.93 ROE(归属母公司)(摊薄)5.22%2.76%5.94%11.36%15.89%P/E 475 449 196 92 57 P/B 24.8 12.4 11.7 10.5 9.1 资料来源:Wind,光大证券研究所预测,股价时间为 2023-07-03;2021/2022 年末总股本分别为 409、454.46 百万股 当前价:当
8、前价:53.5153.51 元元 作者作者 分析师:杨绍辉分析师:杨绍辉 执业证书编号:S0930522060001 联系人:林映吟联系人:林映吟 市场数据市场数据 总股本(亿股)4.54 总市值(亿元):243.18 一年最低/最高(元):24.65/59.83 近 3 月换手率:43.19%股价相对走势股价相对走势 -10%10%30%50%70%90%22/1223/0323/06微导纳米沪深300 收益表现收益表现%1M 3M 1Y 相对-2.06 52.00 108.35 绝对-0.80 47.17 94.94 资料来源:Wind
9、相关研报相关研报 半导体制造技术进步,原子层沉积(ALD)技术是关键半导体设备行业跟踪报告(2023-02-06)要点要点 敬请参阅最后一页特别声明-2-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)投资聚焦投资聚焦 关键假设关键假设 (1)半导体设备:半导体设备:公司是国内半导体 ALD 设备领军企业,布局 CVD 拓展市场空间,目前多个机台处于与客户验证阶段,部分取得批量重复订单。目前中美科技竞争,海外高端半导体设备供应链受破坏,国内晶圆厂导入国产设备验证意愿相较此前增强,半导体设备国产化率不断提高,微导纳米作为国内第一家凭借TALD 率先打入 28nm 栅介质层
10、 HfO2工艺的半导体设备厂,公司有望在下游晶圆厂先进制程扩产中在 ALD 应用的工艺环节中获得优势订单。我们预计公司2023/2024/2025 年半导体专用设备实现营业收入 2/5/10 亿元,对应同比增速为 326%/150%/100%,毛利率水平分别为 48%/49%/50%。(2)光伏设备:光伏设备:能源转型背景下,光伏新增装机需求增加,对降本增效的追求推动行业新技术迭代时间缩短,利好设备厂商。微导纳米在高效光伏电池片工艺覆盖度与新技术品类覆盖度逐渐增加,公司产能不断增加,交付能力增强。在TOPCon 扩产热潮中公司积极布局下一代高效电池技术专用设备,如 HJT、钙钛矿等领域,以解决
11、光伏领域未来订单可能出现青黄不接现象。我们预计2023/2024/2025 年公司光伏设备营业收入增速为 130%/60%/30%,对应营业收入为 11.52/18.43/23.97 亿元,毛利率分别为 36.5%/37%/36.5%。我们区别于市场的观点我们区别于市场的观点 (1 1)重视半导体设备属性:重视半导体设备属性:市场多从已产业化应用设备、订单结构、收入结构角度将公司赋予更多的光伏设备属性,我们则从公司创始人团队背景,曾师从全球 ALD 技术发明人黎博士背景,以及公司在技术人才引进结构,研发费用投入,核心研发人员分布,核心在研项目等多角度强调公司的创立与成长使命为推动中国半导体先进
12、制程设备国产化,重申并强调重视其 ALD 技术平台型企业的半导体设备供应能力。(2 2)强调强调公司半导体产公司半导体产品体系丰富能力:品体系丰富能力:市场认为 ALD 设备在半导体薄膜沉积设备中市场份额较小,市场规模与成长空间有限,且国内拓荆科技、中微公司、北方华创、盛美上海等优秀的前道半导体制程设备厂商亦在布局 TALD 或PEALD,竞争较为激烈。我们详细分析 ALD 设备在逻辑、存储等领域的工艺应用,明晰在不同工艺环节,面向不同前驱体材料时 ALD 设备是具备较大差异性的,意味差异化策略叠加优先攻克难度较高工艺的 ALD 设备商具备客户绑定、验证、量产导入优势。微导纳米率先攻克难度较高
13、的 28nm 逻辑电路栅介电层氧化铪工艺,可为公司在向全工艺段覆盖的进程中奠定优势地位。同时公司研发团队背景覆盖薄膜沉积、刻蚀等多个制程设备领域,对工艺理解深厚,具备产品矩阵拓展能力。公司目前已研发出 CVD 系列产品,部分处于客户试验验证阶段。股价上涨的催化因素股价上涨的催化因素 (1)晶圆厂资本开支上行,先进制程国产线建设进展顺利;(2)CVD 系列产品出货验证,验证效果优异;(3)TALD 在存储高深宽比等工艺段验证成功,PEALD 验证成功,签订重复订单;(4)钙钛矿多个 GW 级别产线招标启动。估值与估值与评级评级 我们预测微导纳米 2023-2025E 归母净利润分别为 1.24/
14、2.63/4.23 亿元,对应EPS 为 0.27/0.58/0.93 元。公司半导体 ALD、CVD 处于加速验证与量产导入阶段,可享受一定的估值溢价,首次覆盖,给予首次覆盖,给予“增持增持”评级。评级。TV8ZhZjWnVbYFU9YhUbRdN6MtRmMoMsRfQoOqPiNrRvMbRsQtQvPtQoQxNtQnP 敬请参阅最后一页特别声明-3-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)目目 录录 1、ALD 薄膜沉积新星,技术平台化打开成长空间薄膜沉积新星,技术平台化打开成长空间 .7 7 1.1、以 ALD 光伏应用起家,志在半导体等高端领域国产
15、替代.7 1.2、深耕薄膜沉积,ALD 应用领域不断取得突破.9 1.3、在手订单充足保障业绩高增长.13 1.4、募投项目有序推进,新扩产能保障订单交付.15 2、ALD 技术应用空间广阔,半导体、光伏孕育新机遇技术应用空间广阔,半导体、光伏孕育新机遇 .1616 2.1、ALD 技术可精准镀膜,技术延展性强应用领域广泛.16 2.1.1、ALD 技术具有自限制特性,可精准控制薄膜厚度.16 2.1.2、前驱体材料多元,ALD 技术应用广泛.19 2.2、半导体:先进制程演绎使 ALD 应用工艺增加,叠加国产化加速验证.21 2.2.1、逻辑:HKMG 工艺.21 2.2.2、存储DRAM.
16、26 2.2.3、存储3D NAND.30 2.2.4、存储新型存储之铁电存储.32 2.2.5、ALD 为先进制程关键设备,未来成长空间广阔.35 2.2.6、对华半导体制裁倒逼国产化加速.37 2.3、光伏:TOPCON 元年,电池片技术迭代窗口缩短.40 2.3.1、能源独立与革命支撑海内外光伏装机需求高增.40 2.3.2、TOPCON 电池扩产元年,ALD 工艺渗透率提升.43 2.3.3、光伏电池技术迭代窗口期缩短,成长行业属性强化.46 3、研发团队实力雄厚,以研发团队实力雄厚,以 ALD 核心技术为基点多元布局核心技术为基点多元布局 .4949 3.1、核心研发团队实力雄厚,研
17、发投入与转化效益高.49 3.2、光伏订单放量,基本盘稳固为半导体研发赋能.54 3.3、ALD 技术具平台延展优势,布局 CVD 打开成长空间.55 4、盈利预测与投资建议盈利预测与投资建议 .5757 4.1、关键假设及盈利预测.57 4.2、相对估值.59 4.3、绝对估值.60 4.4、估值结论与投资评级.62 5、风险分析风险分析 .6262 敬请参阅最后一页特别声明-4-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图目录图目录 图 1:微导纳米发展历程.7 图 2:微导纳米股权结构(截至 20230331).8 图 3:原子层沉积(ALD)的多个产业化应
18、用.9 图 4:2018-2022 年公司营收年均复合增长率 101%.14 图 5:2017-2023Q1 公司归母净利润.14 图 6:公司毛利率与净利率.14 图 7:公司各项期间费用率(%).14 图 8:2023 年 Q1 末公司合同负债 9.48 亿元,存货 14.48 亿元.14 图 9:2023 年 4 月末,公司在手订单近 45 亿元.14 图 10:截至 20220930 光伏工艺设备在手订单结构.15 图 11:截至 20220930 半导体工艺在手订单结构.15 图 12:薄膜沉积工艺.16 图 13:ALD 技术发展历史.17 图 14:原子层沉积技术原理示意图.18
19、 图 15:TALD 与 PEALD 工艺的单循环原理图.18 图 16:MOSFET 结构及 SiO2与 High-K 栅介电层比较.21 图 17:芯片线宽 28nm 以下采用 3D 晶体管(FinFET).22 图 18:3D 晶体管应用增加,对 ALD 需求增加.22 图 19:ALD 在 FinFet 中的应用.22 图 20:浅绿色部分有阻挡层.23 图 21:逻辑芯片各制程节点 ALD 技术应用环节数量(个).23 图 22:逻辑器件中 ALD 与 ALE 在各技术节点中的使用步骤数.23 图 23:22Q4 台积电 5nm 制程收入占比提高至 32%.24 图 24:2022
20、年台积电 5nm 制程收入占比提高至 26%.24 图 25:深沟槽式电容.26 图 26:堆叠式电容.26 图 27:DRAM 发展路线图.27 图 28:DRAM 制程微缩带来电容(CS)减少问题.27 图 29:圆柱形电容器.28 图 30:柱状式电容器.28 图 31:HKMG 在逻辑与 DRAM 中应用.28 图 32:HKMG 在 DRAM 中应用的效用.28 图 33:光刻技术迭代过程.29 图 34:SADP.29 图 35:LELE.29 图 36:LFLE.29 图 37:ASML 的收入主要来自 EUV 与 ArFi 光刻机.30 图 38:2022 年 ASML 共销售
21、 40 台 EUV 光刻机.30 图 39:ALD 在 3D NAND 中的应用.31 敬请参阅最后一页特别声明-5-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图 40:NAND Cell 结构图.31 图 41:NAND Cell 沉积工艺流程.31 图 42:铁电存储器工作原理.32 图 43:PZT 与 HfO2铁电层的特性差异比较.33 图 44:2019-2025 年存储器市场收入预测(按技术分类).34 图 45:铁电存储产业化现状.35 图 46:2017-2025E 全球半导体薄膜沉积设备市场规模(亿美元).35 图 47:2022 年薄膜沉积设备
22、投资额占晶圆制造设备总投资额的 22%.35 图 48:2021 年全球各类半导体薄膜沉积设备市场规模占比情况.36 图 49:2021-2024E 半导体设备销售额分类(十亿美元).36 图 50:存储芯片及其他各类型 ALD 技术应用环节数量.36 图 51:半导体设备国产化率.39 图 52:半导体设备在大生产线验证流程.39 图 53:2022 年全球按能源划分的可再生发电能力.41 图 54:2022E-2026E 全球光伏新增装机量预测.41 图 55:算力时代与电力时代对比.41 图 56:机器学习系统能耗与全球发电情况对比.41 图 57:2021 年全球各主要国家光伏发电量渗
23、透率.42 图 58:2022-2030E 年国内光伏新增装机量.42 图 59:截至 2023/6/28,多晶硅致密料均价 64 元/kg.43 图 60:截至 2023/6/28,光伏组件价格持续下降(元/W).43 图 61:PERC 电池结构示意图.43 图 62:TOPCon 电池结构示意图.43 图 63:PERC 与 TOPCon 电池工艺流程对比.44 图 64:原位掺杂与非原位掺杂市占率.46 图 65:不同镀膜技术路线市占率.46 图 66:光伏电池技术迭代路线.46 图 67:BSF、PERC、TOPCON 演绎.47 图 68:双面全钙钛矿叠层电池示意(左)和扫描电镜(
24、右).49 图 69:可比公司研发费用占营业收入比重(%).51 图 70:可比公司研发人员数量占比(%).51 图 71:微导纳米专用设备收入总额及结构(亿元).55 图 72:微导纳米订单金额及结构(亿元).55 表目录表目录 表 1:微导纳米董事会重要成员一览.8 表 2:微导纳米重要高管和核心技术人员一览.9 表 3:公司半导体领域设备以 TALD 为主.10 表 4:公司光伏行业主要产品.12 敬请参阅最后一页特别声明-6-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)表 5:公司产品价格与销量.12 表 6:2018-2022H1 公司前五大客户.13 表
25、 7:公司募投项目情况.15 表 8:PVD、CVD 与 ALD 对比.16 表 9:TALD 与 PEALD 对比.19 表 10:ALD 主要应用领域.19 表 11:全球 7 大 IC 晶圆厂制程演进过程(单位:nm).24 表 12:中国大陆晶圆厂规划建设情况(不完全统计,截至 2021 年底).25 表 13:DDR1-DDR5 主要指标比较.26 表 14:主要 NAND Flash 厂商制程变化及预测.32 表 15:铁电存储器与主流非易失性存储器及 SRAM 区别.33 表 16:全球各类芯片销售总额与预测.37 表 17:全球主要国家/组织半导体产业扶持政策.37 表 18:
26、美日荷对中国半导体出口管制政策.37 表 19:半导体工艺设备全球领先供应商与中国本土供应商情况.38 表 20:全球各主要国家和地区光伏装机目标.40 表 21:不同电池技术参数与成本等比较(截至 202304).44 表 22:隧穿氧化层制备与 Poly 掺杂的不同技术路线对比.45 表 23:HJT TCO 制备路线.48 表 24:钙铁矿电池 or 组件不同技术路线最新转换效率.49 表 25:公司核心技术人员(截至 2022 年底).50 表 26:公司七大核心技术.51 表 27:公司正在实施的主要研发项目.52 表 28:公司产学研合作情况.53 表 29:国内布局 ALD 设备
27、的厂商众多,但多处于起步阶段.54 表 30:微导纳米半导体工艺覆盖与产业化情况.55 表 31:各家 PECVD、LPCVD 薄膜工艺对比.56 表 32:拓荆科技产品布局.56 表 33:微导纳米主营业务拆分及预测(单位:百万元).58 表 34:公司盈利预测与估值简表.59 表 35:微导纳米可比公司估值表.60 表 36:绝对估值核心假设表.61 表 37:现金流折现及估值表.61 表 38:敏感性分析表(元).61 表 39:各类绝对估值法结果汇总表(元).62 敬请参阅最后一页特别声明-7-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)1 1、ALDALD
28、 薄膜沉积新星,技术平台薄膜沉积新星,技术平台化化打开成打开成长空间长空间 1.11.1、以以 ALDALD 光伏应用起家,志在半导体等高端领域国光伏应用起家,志在半导体等高端领域国产替代产替代 微导纳米是先进微纳米级薄膜沉积专家。微导纳米是先进微纳米级薄膜沉积专家。公司成立于 2015 年 12 月,由先导智能董事长王燕清等四人出资成立,2022 年 12 月在科创板上市。自成立以来,公司定位为以 ALD 技术为核心的平台型公司,不断吸收海内外优秀人才,加大研发投入,构筑出在原子层沉积反应器设计技术、高产能原子层沉积反应器设计技术、高产能真空镀膜技术、真空镀膜真空镀膜技术、真空镀膜设备工艺反
29、应气体控制技术、纳米叠层薄膜沉积技术、高质量薄膜制造技术、工设备工艺反应气体控制技术、纳米叠层薄膜沉积技术、高质量薄膜制造技术、工艺设备能量控制技术、基于原子层沉积的高效电池技术艺设备能量控制技术、基于原子层沉积的高效电池技术等前沿科技领域的强势壁垒,并深化在高效光伏电池、集成电路、先进存储等领域的应用与技术储备。公司公司 ALDALD 设备率先在光伏领域应用,打破设备率先在光伏领域应用,打破 PECVDPECVD 在背面钝化层镀膜的垄断。在背面钝化层镀膜的垄断。公司成立后适逢国内光伏电池技术取得重大突破与迭代,2016 年以来,PERC 电池取代 BSF 电池速度加快,2022 年为新一代高
30、效电池 TOPCon 的量产元年,电池片技术的迭代带来了新工艺新设备的尝试与应用机遇。在全球范围内,公司首创将 ALD 技术规模化应用到 PERC 电池的 AL2O3钝化层制备,2017 年其 ALD设备在 AL2O3钝化层制备的销售额占比不足 2%,2018 年则接近 20%。目前,公司的 ALD 设备已覆盖光伏领域多家知名电池片厂商,如通威太阳能、隆基绿能、晶澳科技、阿特斯、天合光能,并适用于 TOPCon、XBC、钙钛矿等高效电池。进军逻辑芯片、先进存储、进军逻辑芯片、先进存储、3 3D D-ICIC 等领域,拓展柔性电子镀膜。等领域,拓展柔性电子镀膜。公司致力于为中国半导体关键装备国产
31、化出力,2019 年,公司正式对外开放 A 轮融资,引入毅达资本、中芯聚源、高瓴创投等知名投资方,为公司半导体装备研究注入大量资金支持。2022 年,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于28nm 节点逻辑前道生产线的国产设备公司,其工艺参数达到了国际同类水平,并获得重复订单,标志着公司正式进军逻辑芯片、先进存储、3D-IC 等领域。此外,公司正在孵化柔性电子领域 ALD 技术,开发多款 CVD 产品,有条不紊推动2018 年以来所实施的产品线多元化战略。图图 1 1:微导纳米发展历程:微导纳米发展历程 资料来源:公司官网,公司招股说明书,光大证券研究所整理 敬请参阅最
32、后一页特别声明-8-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)公司公司实行董事会领导下的总经理负责制。实行董事会领导下的总经理负责制。公司实际控制人为王燕清家族(王燕清、其妻倪亚兰、其子王磊),IPO 后其家族通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司 60.61%股份,股权集中度较高,而董事会领导下的总经理负责制,有助于所有权与经营权分离,一定程度上可规避实控人非正常干预或控制公司经营活动的风险。图图 2 2:微导纳米股权结构(:微导纳米股权结构(截至截至 2023033120230331)王燕清家族为实控人聚海盈投资胡彬潘景伟问鼎投资中芯聚源绍兴基金瑞
33、华投资德厚盈投资上海君联晟其他股东万海盈投资LI WEI MINLI XIANG倪倪亚亚兰兰王王磊磊王王燕燕清清江苏微导纳米科技股份有限公司江苏微导纳米科技股份有限公司2080%74.28%79%21%51.18%9.42%8.32%4.44%2.77%1.98%1.43%1.19%1.19%1.11%0.93%16.0%资料来源:Wind,光大证券研究所整理 公司董事会与重要高管拥有半导体行业丰富的研究与从业经验。公司董事会与重要高管拥有半导体行业丰富的研究与从业经验。黎微明(LI WEIMIN)博士为公司第二大股东,截至 23Q1 末其持股比例为 9.42%,曾就职于全球领先的半导体原子层
34、沉积设备公司 ASM 国际、Picosun1,目前其出任微导纳米副董事长,首席技术官,其在芬兰工作期间曾为英特尔公司 45nm 芯片研发高介电薄膜。总经理周仁(ZHOU REN)曾就职于国际知名半导体刻蚀设备公司 Lam Research、国内领先的刻蚀与薄膜沉积公司中微公司、拓荆科技。决决策层与管理层在半导体领域深厚的经验一定程度上可为微导纳米在薄膜沉积领策层与管理层在半导体领域深厚的经验一定程度上可为微导纳米在薄膜沉积领域的研发、产业化应用提供有益支持。域的研发、产业化应用提供有益支持。表表 1 1:微导纳米董事会重要成员一览:微导纳米董事会重要成员一览 姓名姓名 职务职务 国籍国籍 学历
35、学历 背景背景 王磊 董事长 中国 本科 毕业于新泽西州立大学计算机和数学专业。2017 年 6 月至 2017 年 9 月就职于喜开理(中国)有限公司;2017 年 9月至 2018 年 2 月就职于恒云太;2018 年 2 月至今任先导智能董事;2018 年 10 月至 2019 年 12 月,担任微导有限董事长;2019 年 12 月至今,担任公司董事长。倪亚兰 董事 中国 初中 2002 年至 2011 年 11 月,担任无锡先导自动化设备有限公司总经理办公室助理;2011 年 5 月至 2016 年 1 月,担任无锡嘉鼎投资有限公司(上海卓遨前身)总经理;2016 年 1 月至 20
36、20 年 12 月,担任上海卓遨执行事务合伙人;2012年 2 月至今,担任欣导投资总经理;2015 年 12 月至 2019 年 12 月,担任微导有限董事;2017 年 12 月至 2019 年12 月,担任微导有限总经理;2019 年 12 月至今,担任公司董事。LI WEIMIN 副董事长、首席技术官 芬兰 博士 毕业于芬兰赫尔辛基大学无机化学专业。2000 年 6 月至 2007 年 4 月就职于芬兰芬兰 ASMASM MicrochemistryMicrochemistry Ltd.Ltd.,任高级工艺工程师;2007 年 4 月至 2010 年 2 月就职于芬兰芬兰 Silecs
37、Silecs,任应用经理;2010 年 2 月至 2015 年 10 月就职于芬兰芬兰PicosunPicosun,任应用总监;2015 年 12 月至 2016 年 1 月就职于先导智能,实际未担任职务;2015 年 12 月至 2019 年12 月,任微导有限董事;2016 年 2 月至 2019 年 12 月,任微导有限首席技术官;2019 年 12 月至今,任公司首席技术官并历任公司董事、副董事长。12022 年 6 月被美国应用材料公司收购。敬请参阅最后一页特别声明-9-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)LI XIANG 董事、副总经理 新加坡
38、博士 毕业于新加坡南洋理工大学电气与电子工程专业。2010 年 1 月至 2012 年 6 月,就职于新加坡科学技术研究院微电新加坡科学技术研究院微电子研究所子研究所,任研发科学家;2012 年 7 月至 2015 年 2 月,就职于 PicosunPicosun AsiaAsia Pte.Ltd.Pte.Ltd.,任董事总经理;2015 年 3月至 2015 年 10 月,就职于新加坡格罗方德半导体新加坡格罗方德半导体股份有限公司,任主任工程师;2015 年 12 月至 2016 年 1 月,就职于先导智能,实际未履行职务;2015 年 12 月至 2019 年 12 月,任微导有限董事;2
39、016 年 2 月至 2019 年 12 月,任微导有限应用总监、ALD 事业部副总经理、研发部副总经理、联席首席技术官;2019 年 12 月至今,任公司董事、副总经理。资料来源:公司招股说明书,公司 2022 年年报,wind,光大证券研究所整理 表表 2 2:微导纳米重要高管和核心技术人员一览:微导纳米重要高管和核心技术人员一览 姓名姓名 职务职务 国籍国籍 学历学历 背景背景 ZHOU REN 总经理 美国 硕士 毕业于美国丹佛大学计算机科学专业。1989 年 7 月至 1994 年 4 月,担任美国 AG Associates 软件资深工程师;1994年 5 月至 1996 年 4
40、月,担任美国 Novellus System 软件主任工程师;1996 年 5 月至 1997 年 8 月,担任美国 CVC Inc系统控制部经理;1997 年 9 月至 2006 年 5 月,担任美国 LamLam 工程资深总监工程资深总监并历任资深软件经理,软件总监;2006年 6 月至 2010 年 8 月,担任中微半导体设备执行总监中微半导体设备执行总监并历任资深总监;2010 年 9 月至 2012 年 3 月,担任美国 KLAKLA TencorTencor 工程资深总监工程资深总监;2012 年 4 月至 2014 年 8 月,光达光电设备科技(嘉兴)有限公司工程副总经理;201
41、4 年 9月至 2020 年 7 月,历任拓荆科技工程副总经理、顾问拓荆科技工程副总经理、顾问;2020 年 8 月至 2021 年 6 月,历任公司半导体事业部副总经理、首席运营长;2021 年 7 月至今,担任公司总经理。胡彬 副总经理 中国 本科 毕业于东南大学机械设计制造及其自动化专业。2005 年 7 月至 2006 年 6 月就职于苏州富士胶片映像机器有限公司,任技术部工装工程师;2006 年 6 月至 2007 年 6 月,就职于华进科技(江苏)有限公司,任制程工程师;2007 年 6月至 2009 年 2 月,就职于铁姆肯(无锡)轴承有限公司,任热处理部工装工程师;2009 年
42、 2 月至 2009 年 11 月,就职于南京圣本科技有限公司,任研发部主管;2009 年 12 月至 2011 年 11 月,历任无锡先导自动化设备有限公司机械工程师、机械研发部副经理;2011 年 12 月至 2018 年 2 月,任先导智能副总经理;2018 年 7 月至 2019 年 12月,就职于微导,任常务副总经理;2019 年 12 月至 2021 年 6 月,任公司总经理;2021 年 7 月至今,任公司副总经理、光伏事业部总经理。许所昌 研发主管、研发经理、工艺副总监 中国 博士 毕业于中国科学院大连化学物理研究所物理化学专业。2016 年 6 月至 2018 年 9 月,就
43、职于中芯国际集成电路制造中芯国际集成电路制造(上海)有限公司(上海)有限公司,任研发工程师;2018 年 10 月至 2019 年 12 月,任微导有限研发主管;2019 年 12 月至今,历任公司研发主管、研发经理、工艺副总监。吴兴华 光伏事业部副总经理 中国 台湾 硕士 毕业于中山大学物理专业 2007 年 12 月至 2012 年 2 月,就职于中国台湾工业技术研究院,任工程师;2012 年 3 月至 2016 年 7 月,就职于昱晶能源科技股份有限公司,任副经理;2016 年 9 月至 2019 年 12 月,就职于泰州中来光电科技有限公司,任研发经理、生产厂长;2019 年 12 月
44、至今,任公司光伏事业部副总经理。资料来源:公司招股说明书,公司 2022 年年报,wind,光大证券研究所整理 1.21.2、深耕薄膜沉积,深耕薄膜沉积,ALDALD 应用应用领域领域不断取得突破不断取得突破 目前,公司以 ALD 技术为核心,已开发出适用于半导体、光伏、柔性电子三大领域的薄膜沉积设备,未来将加大纵深拓展光学、生物医药、燃料电池等领域。图图 3 3:原子层沉积(:原子层沉积(ALDALD)的多个产业化应用)的多个产业化应用 资料来源:2022 年 12 月微导纳米 IPO 推介会材料,光大证券研究所 敬请参阅最后一页特别声明-10-证券研究报告 微导纳米(微导纳米(688147
45、.SH688147.SH)半导体领域半导体领域 半导体领域公司目前以半导体领域公司目前以 TALDTALD(Thermal ALDThermal ALD,热原子层沉积,热原子层沉积)设备为主,通过)设备为主,通过沉积不同类型的薄膜而适用于不同细分领域。沉积不同类型的薄膜而适用于不同细分领域。公司 iTomic 系列原子层沉积镀膜系统因制备的 High k 材料 HfO2可以较好满足 28nm 逻辑器件的栅氧层工艺,目前已在量产线实现产业化应用。公司是国内首家成功将量产型 High k 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的国产设备公司,设备总体表现与工艺关键性能参数达到国
46、际同类水平,并已获得重复订单。目前公司目前公司ALDALD 设备涵盖了逻辑、存储、化合物半导体、新型显示等诸多细分应用领域设备涵盖了逻辑、存储、化合物半导体、新型显示等诸多细分应用领域。未来,公司将以未来,公司将以 ALDALD 技术为核心,在技术为核心,在 ALDALD 半导体应用领域树立口碑,再不断向半导体应用领域树立口碑,再不断向PEALDPEALD、CVDCVD 等市场应用规模更大的设备拓展产品矩阵。等市场应用规模更大的设备拓展产品矩阵。表表 3 3:公司半导体领域设备以:公司半导体领域设备以 TALDTALD 为主为主 产品系列产品系列 图示图示 产产品说明品说明 设备类型设备类型
47、镀膜工艺镀膜工艺 应用领域应用领域 产业化阶段产业化阶段 iTomic 系列原子层沉积镀膜系统 主要用于单片型 12 寸及 8 寸晶圆生产中氧化物、氮化物及金属镀膜工艺 TALD HfOHfO2 2工艺工艺 逻辑芯片逻辑芯片高高 k k 栅介质层栅介质层 产业化应用产业化应用 HfO2工艺 存储芯片高 k 栅电容介质层(单元和多元掺杂介质层)产业化验证 ZrO2工艺 La2O3工艺 TiO2工艺 逻辑芯片高 k 栅覆盖层 产业化验证 iTomic Lite 系列轻型原子层沉积镀膜系统?采用原创设计开发的自动化平台与模块化 ALD 反应腔相结合,可以按需配置 PEALD 或 Thermal AL
48、D 等工艺需求。iTomic Lite 系列设备具有强大的兼容性,其硬件配置在保持量产机型强大功能的前提下,可满足各类晶圆尺寸(6、8 英寸)量产工艺需求,同时也可满足客户高端研发和新工艺试量产需求。iTomic Lite 系列可广 泛应用于 MEMS、光电器件等泛半导体器件领域。TALD TiN 工艺 半导体量子器件超导材料导电层 产业化验证 Al2O3和 AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 PEALD Al2O3和 AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 iTomic MW 系列批量式原子层沉积镀膜系统 采用创新的批量型(mini-batch)腔
49、体设计,可一次处理 25 片 12 英寸晶圆,适用于成膜镀率低,厚度要求高,以及产能要求高的关键工艺及应用。产品利用特有的流场设计,具有成膜速度快,占地面积小,产能高、使用成本低等优势,为存储芯片以及 Micro-OLED 显示器、MEMS 等提供定制化量产的解决方案。TALD Al2O3和 TiO2工艺 硅基微型显示芯片阻水阻氧保护层 产业化验证 iTomic PE 系列等离子体增强原子层沉积镀膜系统 可根据不同温度要求制备氧化硅、氮化硅、氮氧化硅等薄膜制备工艺及应用,通过精准快速控制成膜速度、超低反应温度、材料配比等技术,完美实现材料厚度PEALD 多种氧化物、氮化物、掺杂、多重曝光等 产
50、业化验证 敬请参阅最后一页特别声明-11-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)均匀性、膜应力,热过程,以及阶梯覆盖率等极具挑战的工艺需求,技术达到国际先进水平。iTomic PE 系列设 备可为逻辑芯片、存储芯片、先进封装 等提供客制化掩膜层、介质层、图案化等关键工艺解决方案 iTronix 系列 CVD 系统 iTronix 系列 CVD 系统是公司根据下游客户需求,独立开发或合作开发的多款 CVD 产品系列,应用于 CVD 技术不同镀膜领域,适用于制备氧化硅、氮化 硅、氮氧化硅、非晶碳、非晶硅、掺杂非晶硅、锗硅等不同种类薄膜,可应用于逻辑、存储、先进封装
51、、显示器件以 及化合物半导体等领域芯片制造 氧化硅、氮化 硅、氮氧化硅、非晶碳、非晶硅、掺杂 非晶硅、锗硅 产业化验证 Trancendor 晶圆真空传输系统 用于半导体先进制程的晶圆真空传输系统,该平台系统可有效避免晶圆表面微尘,可实现高产能下的稳定性。该系统可根据客户工艺需要,灵活挂载一至多个工艺腔体(每个工艺腔体可配备一至多个工作站)在真空环境下进行快速高效晶圆传输。真空传输系统-半导体设备晶圆传输平台系统 产业化应用 资料来源:公司招股说明书,公司 2022 年年报,光大证券研究所整理 光伏光伏领域领域 公司在光伏领域的产品包括公司在光伏领域的产品包括 ALDALD、PECVDPECV
52、D、PEALDPEALD 二合一设备和扩散炉系统二合一设备和扩散炉系统,可与先导智能可与先导智能自主研发的自主研发的清洗制绒、碱抛刻蚀、多晶硅清洗、丝印整线、测试分清洗制绒、碱抛刻蚀、多晶硅清洗、丝印整线、测试分选、及整线自动化上下料设备选、及整线自动化上下料设备形成形成 TOPConTOPCon 整线供应。整线供应。夸父 KF 系列批量型 TALD 设备的 Al2O3工艺可用于制备 PERC 电池背面钝化层、TOPCon 电池正面钝化层,探索开发应用于 HJT、钙钛矿。夸父 KF-P 系列 PECVD 设备的 SiNX 工艺可用于制备 PERC 与 TOPCon 电池减反层,并探索开发应用于
53、 XBC。祝融 ZR 系列 PEALD 二合一(PEALD+PECVD)集成 PEALD 与 PECVD 技术,同一台设备可完成电池 Al2O3膜和 SiNx 膜,以及 TOPCon 电池超薄 SiOx 隧穿层和掺杂多晶硅薄膜的制备,公司目前也在开发 a-Si/Si:B/Si:P 等非晶硅掺杂的应用。羲和 XH 系统可用于 PERC、TOPCon 中磷扩、硼扩、氧化和退火工艺。后羿(HY)系列 ALD/PEALD/PECVD 薄膜沉积系统可用钙钛矿/异质结叠层电池的非晶/微晶硅基参杂薄膜、阻水阻气保护层沉积等。公司自 2016 年应客户需求首创将 ALD 设备引进光伏领域,产品历经几代迭代,实
54、现产业化、规模化与标准化,在同类型产品中市占率稳居全球第一梯队。公司公司也将不断研发,强化技术应用开拓,增加也将不断研发,强化技术应用开拓,增加 ALDALD 设备、设备、PEALDPEALD 二合一机台在光伏二合一机台在光伏 敬请参阅最后一页特别声明-12-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)电池片各类薄膜沉积中的应用,以及适用于各类不断迭代的高效太阳能电池片,电池片各类薄膜沉积中的应用,以及适用于各类不断迭代的高效太阳能电池片,如如 H HJ JT T,钙,钙钛矿等。钛矿等。表表 4 4:公司光伏行业主要产品:公司光伏行业主要产品 产品系列产品系列 图示
55、图示 产品说明产品说明 设备类型设备类型 镀膜工艺镀膜工艺 应用领域应用领域 产业化阶段产业化阶段 夸父(KF)系列原子层沉积(ALD)系统 运用 ALD 技术,对晶硅太阳能电池表面 Al2O3钝化膜进行批量制备 TALD Al2O3工艺 PERC 电池背面钝化层、TOPCon 电池正面钝化层;探索开发 HJT、钙钛矿 产业化应用 夸父(KF)管式PECVD 系统 运用 PECVD 技术,对晶硅太阳能电池表面 SiNx 薄膜进行批量制备 PECVD SiNX 工艺 PERC 电池减反层 产业化应用 PECVD SiNX 工艺 TOPCon电池背面减反层;探索开发 XBC 产业化应用 祝融(ZR
56、)管式PEALD 系统 集成 PEALD 与 PECVD 技术,同一台设备可完成电池 Al2O3膜和 SiNx 膜,以及 TOPCon 电池超薄SiOx隧穿层和掺杂多晶硅薄膜的制备 PEALD 和 PECVD Al2O3和 SiNX二合一工艺 PERC 电池背面钝化层、减反层 产业化应用 PEALD 和 PECVD Al2O3和 SiNX二 合一工艺 TOPCon电池正面钝化层、减反层 产业化应用 PEALD 和 PECVD 隧穿层和掺杂多晶硅层二合一工艺 TOPCon电池隧穿层、掺杂多晶硅层;探索开发a-Si/Si:B/Si:P 产业化应用 羲和(XH)低压扩散炉系统 采用超高温热场控制技术
57、,可实现磷扩、硼扩、氧化和退火工艺,为TOPCon 电池生产线设备 炉管设备 非晶硅晶化及掺杂、扩散 TOPCon 电池扩散、退火 产业化应用 后羿(HY)系列 ALD/PEALD/PECVD 薄膜沉积系统 专用于钙钛矿/异质结叠层电池等新兴太阳能电 池的非晶/微晶、掺杂层、阻水阻氧、致密保护 层等薄膜材料。以工业产线模块化为核心设计思路,为客户提供高薄膜质量、高产能、高可 靠性、低制备成本、低投资成本的先进设备解决方案。ALD/PEALD/PECVD 非晶/微晶硅基参杂薄膜、阻水阻气保护层等 钙钛矿/异质结叠层电池 开发实现 资料来源:公司招股说明书,光大证券研究所整理 表表 5 5:公司产
58、品价格与销量:公司产品价格与销量 应用领域应用领域 类型类型 项目项目 20222022H H1 1 20212021 年年 20202020 年年 20192019 年年 光伏领域设备 ALD 设备 销量(台)11 22 59 38 2018 年销售主要类型:KF4000、KF5000;2019 年销售主要类型:KF6000、KF6500;2020 年:考虑批量成本与定价策略,均价下降;2021 年:增加高产能设备 KF10000S 的销售 销售均价(万元/台)593.16 618.95 507.06 531.44 PECVD 设备 销量(台)8 19-2018 年 6 月立项研发,2020
59、 年 1-5 月,陆续完成首批 PECVD的生产与发货,2021 年 5 月或验收 销售均价(万元/台)373.89 374.9-PEALD 二合一平台设备 销量(台)12 17-公司 PEALD 二合一平台设备系新开发设备,第一代 ZR40002设备于 2019 年形成生产样机陆续发往客户现场试用,在 2020年通过技术改进推出了新型号的 ZR50002 设备,首批设备已于 2021 年取得客户验收。2018-2021 年合计发货 71 台,其中 29 台已完成验收,29 台在客户现场验收推进中,2 台已赠送客户,其余 11 台为试用设备。2023 年 1 月 18 日公告,公司拟向彭山通威
60、销售 ALD 钝化设备及 PE-Poly 设备,合同金额 4.5 亿。销售均价(万元/台)398.23 399.27-半导体领域设 ALD 设备 销量(套)-1-2018 年启动半导体 ALD 产业化进程,2019 年初完成样机搭建,敬请参阅最后一页特别声明-13-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)备 销售均价(万元/套)-2,520.00-2020 年初开发新机型并着手建立产业化中心,2021 年,公司共销售了 1 台半导体 ALD 设备,销售单价为 2520 万元(应用于高介电常数(High-k)材料 HfO2薄膜沉积)。真空传输系统 销量(台)1-销
61、售均价(万元/台)475-资料来源:公司招股说明书,公司公告,光大证券研究所整理注:公司产品均价根据当期确认收入的主机台数量测算 表表 6 6:2 2H H1 1 公司前五大客户公司前五大客户 2 2018018 2 2019019 2 2020020 2 2021021 20222022H H1 1 客户 销售额 销售占比 客户 销售额 销售占比 客户 销售额 销售占比 客户 销售额 销售占比 客户 销售额 销售占比 第一大客户 泰州中来光电 0.28 68%华融金融租赁 0.28 13%通威太阳能(成都)0.77 25%通威太阳能(成都)0.81 19%江苏龙
62、恒新能源 0.78 50.04%第二大客户 江苏顺风新能源 0.06 14%江苏顺风新能源 0.27 13%通威太阳能(安徽)0.68 22%江苏龙恒新能源 0.78 18%阿特斯 0.41 26.54%第三大客户 苏州腾晖光伏 0.06 14%阳光中科(福建)0.22 10%华融金融租赁 0.51 16%平煤隆基新能源 0.61 14%顺风太阳能 0.12 7.79%第四大客户 通威太阳能(成都)0.01 3%通威太阳能(成都)0.15 7%晶澳 0.42 13%阳光中科(福建)0.39 9%浙江爱旭 0.062 3.98%第五大客户 徐州谷阳新能源 0.01 2%徐州谷阳新能源 0.14
63、6%天合光能 0.28 9%通威太阳能(安徽)0.38 9%商洛比亚迪 0.061 3.93%合计合计 0 0.42.42 1 10000%1 1.07.07 4 49 9%2 2.67.67 8 85 5%2 2.97.97 7 70%0%1 1.448.448 9 92 2%资料来源:公司招股说明书,公司公告,光大证券研究所整理 注:单位 亿元;2019 年和 2020 年公司通过华融金融租赁股份有限公司向通威太阳能销售设备金额分别为 2,837.61 万元和 5,107.69 万元;标红色底的为销售标的为PEALD二合一平台设备、PECVD设备。1.31.3、在手订单充足保障业绩高增长在
64、手订单充足保障业绩高增长 受益受益于于光伏电池片技术迭代,公司新设备导入顺利,光伏电池片技术迭代,公司新设备导入顺利,营收营收实现高增长。实现高增长。公司营业收入自 2018 年 0.42 亿元增长至 2022 年 6.85 亿元,年均复合增速为 101%,2022年公司实现营业收入 6.85亿元,同比增长60%。公司一代量产机型KF4000于 2017 年初开始工艺验证,于 2017 年中开始试量产,2018 年中,KF6000 成功在通威完成量产验证,同年该设备量产爬坡,开始逐步推广到其他头部电池企业中。受益于国内光伏电池片技术处于受益于国内光伏电池片技术处于 P PERCERC 取代取代
65、 BSFBSF,TOPConTOPCon 取代取代 PERCPERC两大迭代期,公司设备下游客户拓展较为顺利,率先在光伏领域实现收入高速增两大迭代期,公司设备下游客户拓展较为顺利,率先在光伏领域实现收入高速增长。长。归母净利润归母净利润增速低于营业收入增速增速低于营业收入增速。2022 年公司实现归母净利润 0.54 亿元,同比增幅仅 17%,销售净利率为 7.91%,同比下滑 2.87pct,2023 年一季度归母净利润亏损,净利率为-1.44%。2023 年一季度的亏损主要系 2022 年上半年我国新冠疫情多点散发,公司客户及全国光伏重镇长三角地区受疫情影响较大,公司设备发货、客户现场安装
66、调试等工作受阻,收入确认节奏放缓,同时公司扩充管理、销售及售后支持人员数量,期间费用较高,导致归母净利润亏损。此外,新产品验收周期较长,收入确认与销售费用周期存在较为严重的不一致。2022 年净利率下滑主要系公司新产品率先在成熟光伏电池片领域推广,为适应成熟市场现有定价水平,利润率偏低。例如公司为配套 ALD 设备推出的管式 PECVD、管式 PEALD 设备首先在 PERC 领域推广,由于市场已有成熟的竞争方案,参考市场水平定价,可获得的利润率相对较低。此外,公司抓紧半导体设备国产化窗口期,加大半导体研发投入,研发费用增长较快。截至 2022 年末,正在进行的研发项目为 13 个,2022
67、年,公司研发费用为 1.38 亿元,同比增长 42.62%。敬请参阅最后一页特别声明-14-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图图 4 4:20 2 年公司营收年公司营收年均年均复合增长率复合增长率 101101%图图 5 5:20172017-2023Q12023Q1 公司公司归母净利润归母净利润 资料来源:公司招股说明书,wind,光大证券研究所整理 注:公司未披露 2017 年营业收入 资料来源:公司招股说明书,wind,光大证券研究所整理 图图 6 6:公司毛利率与净利率:公司毛利率与净利率 图图 7 7:公司各项期间费
68、用率:公司各项期间费用率(%)资料来源:公司招股说明书,wind,光大证券研究所整理 资料来源:公司招股说明书,wind,光大证券研究所整理 合同负债与存货增速快,在手订单充足保障业绩高增长。合同负债与存货增速快,在手订单充足保障业绩高增长。2022 年末,公司合同负债和存货分别达到 6.25 亿元和 9.75 亿元,分别同比增长 400%、142%,其中,发出商品 5.46 亿元。2023Q1 末,公司合同负债与存货已分别增长至 9.48亿元、14.48 亿元。2022 年末,公司专用设备在手订单 22.93 亿元,较 2021 年末所有在手订单增加 14.15 亿元,同比增幅超过 160%
69、。图图 8 8:2022023 3 年年 Q1Q1 末公司合同负债末公司合同负债 9.489.48 亿元,存货亿元,存货 14.4814.48 亿元亿元 图图 9 9:2022023 3 年年 4 4 月末,公司在手订单月末,公司在手订单近近 4545 亿元亿元 0.182.132.241.561.256.259.480.522.332.743.434.039.7514.48-100%0%100%200%300%400%500%024687200212022 2023Q1合同负债/亿元存货合同负债yoy/亿元存货yoy 资料来源:公司招股说明书,光大
70、证券研究所整理 资料来源:公司招股说明书,公司 2022 年年报,光大证券研究所整理 注:2022 年数据为专用设备数据,因其他业务订单小,可作为简单估算,20230425 数据为光大证券根据23Q1 公司营业收入与 2023 年 1 月初至 20230425 期间所新增订单测算 敬请参阅最后一页特别声明-15-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)光伏领域在手订单:光伏领域在手订单:据公司 IPO 推介会介绍,截至 2022 年 9 月末,近一半来自TOPCon,近 1/3 来自 XBC,近 1/6 来自 PERC,并已有 ALD 设备出货至德国钙钛矿产线。
71、2022 年末,公司光伏设备在手订单已达 19.67 亿元,2023 年一月初至 2023 年 4 月 25 日新增 20.16 亿元。半导体在手订单:半导体在手订单:据公司 IPO 推介会介绍,公司 2021 年 9 月在半导体产线上完成验证,截至 2022 年 9 月末获得订单 1.5 亿元,近一半来自新型存储,先进逻辑、新型显示和化合物半导体大约各占 17%左右。2022 年末,公司半导体设备在手订单已达 2.57 亿元,2023 年一月初至 2023 年 4 月 25 日新增 2.42 亿元。图图 1010:截至截至 2022093020220930 光伏工艺设备在手订单结构光伏工艺设
72、备在手订单结构 图图 1111:截至截至 2022093020220930 半导体工艺在手订单结构半导体工艺在手订单结构 资料来源:微导纳米 IPO 推介会路演资料,光大证券研究所 资料来源:微导纳米 IPO 推介会路演资料,光大证券研究所 1.41.4、募投项目有序推进,募投项目有序推进,新扩新扩产能保障订单交付产能保障订单交付 募投加大投入,提高产能,满足下游需求。募投加大投入,提高产能,满足下游需求。根据公司公告,公司 IPO 实际募集资金接近 10 亿元,将投入基于原子层沉积技术的光伏及柔性电子设备扩产升级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集成电路高端装备产业化应用
73、中心项目及补充流动资金。此举有助于公司扩大产能,提高盈利能力,提升薄膜沉积设备市场占有率,促进半导体装备国产化。募投项目达产后预计为公司带来年均 19.88 亿元的收入,年均贡献 2.72 亿元净利润。表表 7 7:公司募投项目情况:公司募投项目情况 项目名称项目名称 项目项目 总投资额总投资额 募集资金募集资金 投资额投资额 建设期建设期 投产后产能投产后产能 达产达产 年营业收入年营业收入 达产达产 年净利润年净利润 基于原子层沉积技术的光伏及柔性电子设备扩产升级项目 2.64 2.50 2 年 年产 120 台适用于光伏、柔性电子的 ALD设备 7.88 0.83 基于原子层沉积技术的半
74、导体配套设备扩产升级项目 6.33 5.00 3 年 新增年产 40 套 ALD 设备 12.00 1.89 集成电路高端装备产业化应用中心项目 1.18 1.00 2 年 推动基于 ALD 技术的集成电路高端制造装备产业化应用-补充流动资金 1.50 1.50 -合计合计 11.6511.65 10.0010.00 -19.8819.88 2.722.72 资料来源:公司招股说明书,公司公告,光大证券研究所整理 注:单位为亿元 敬请参阅最后一页特别声明-16-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)2 2、ALDALD 技术应用空间广阔,半导体、光伏技术应用空
75、间广阔,半导体、光伏孕育孕育新机遇新机遇 2.12.1、ALDALD 技术可精准镀膜,技术延展性强应用领域广泛技术可精准镀膜,技术延展性强应用领域广泛 2.1.12.1.1、ALDALD 技术具有自限制特性,可精准控制薄膜厚技术具有自限制特性,可精准控制薄膜厚度度 目前,薄膜沉积有三大工艺路径。目前,薄膜沉积有三大工艺路径。按工艺原理的不同可分为物理气相沉积(PVD)、化学气相沉积(CVD)和原子层沉积(ALD);按设备形态的不同可分为批量式(管式)和空间型(板式)两种技术路线。从成膜效果上看,相比 ALD 技术,PVD 技术生长机理简单,沉积速率高,但一般只适用于平面的膜层制备;CVD技术的
76、重复性和台阶覆盖性比 PVD 略好,但是工艺过程中影响因素较多,成膜的均匀性较差,并且难以精确控制薄膜厚度。图图 1212:薄膜沉积工艺:薄膜沉积工艺 先进薄膜沉积设备ALDPVDCVD低压型(LPCVD)常压型(APCVD)金属有机化合物型(MOCVD)等离子体增强型(PECVD)等离子体溅射沉积热蒸发沉积热原子层沉积(TALD)等离子体增强原子层沉积(PEALD)空间原子层沉积(SALD)电化学原子层沉积(ECALD)大气压原子层沉积(AP-ALD)流床式原子层沉积 资料来源:公司招股说明书,光大证券研究所整理 表表 8 8:PVDPVD、CVDCVD 与与 ALDALD 对比对比 项目项
77、目 PVDPVD CVDCVD ALDALD 优势与劣势(1)沉积速率较快;(2)薄膜厚度较厚,对于纳米级的膜厚精度控制差;(3)镀膜具有单一方向性;(4)厚度均匀性差;(5)阶梯覆盖率差。(1)沉积速率一般(微米/分钟);(2)中等的薄膜厚度(依赖于反应循环次数);(3)镀膜具有单一方向性;(4)阶梯覆盖率一般。(1)沉积速率较慢(纳米/分钟);(2)原子层级的薄膜厚度;(3)大面积薄膜厚度均匀好;(4)阶梯覆盖率最好;(5)薄膜致密无针孔。主要应用领域(1)HJT 光伏电池透明电极;(2)柔性电子金属化、触碰面板透明电极;(3)半导体金属化。(1)PERC 电池背面钝化层、PERC 电池减
78、反层;(2)TOPCon 电池接触钝化层、减反层;(3)HJT 电池接触钝化层;(4)柔性电子介质层、柔性电子封装层;(5)半导体介质层(低介电常数)、半导体封装层(1)PERC 电池背面钝化层;(2)TOPCon 电池隧穿层、接触钝化层、减反层;(3)柔性电子介质层、柔性电子封装层;(4)半导体高 k 介质层、金属栅极、金属互联阻挡层、多重曝光技术 技术差异 在真空条件下采用物理方法将材料源(固体或液体)表面气化成气态原子或分子,或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD 镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀膜和真空离子镀
79、膜。通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术,是一种通过气体混合的化学反应在基体表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。一种特殊的真空薄膜沉积方法,通过 ALD 镀膜设备可以将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一次/层为一个原子层,根据原子特性,镀膜 10 次/层约为 1nm。技术对比(1)反应过程:PVD 为物理过程;CVD、ALD 为化学过程,两者差异:在 CVD 工艺过程中,化学蒸气不断地通入真空室内,而在 ALD 工艺过程中,不同的反应物(前驱体
80、)是以气体脉冲的形式交替送入反应室中的,使得在基底表面以单个原子层为单位一层一层地实现镀膜;(2)成膜效果:相比 ALD 技术,PVD 为常见的原始沉积技术,生长机理简单,沉积速率高,但薄膜覆盖率比较差,但一般只适用于平面的膜层制备;CVD 技术的重复性和台阶覆盖性比 PVD 略好,但是工艺过程中影响因素较多,成膜的均匀性较差,并且难以精确控制薄膜厚度。敬请参阅最后一页特别声明-17-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)资料来源:公司招股说明书,光大证券研究所整理,Technology Backgrounder:Atomic LayerDeposition,
81、IC Knowledge LLC,24 April 06.原子层沉积原子层沉积(Atomic Layer Deposition)(Atomic Layer Deposition)是一种改良化学气相沉是一种改良化学气相沉积技术积技术,该,该技术技术发展主要有三个阶段发展主要有三个阶段:(1)早期早期萌芽阶段萌芽阶段:1975 年芬兰科学家 Suntola T 和 Antson MJ 正式申请 ALD专利。早期该技术主要应用于制备 II-VI 族多晶化合物和非晶氧化物薄膜。20 世纪 80 年代中后期,采用 ALD 技术生长 II-VI 族和 III-V 族单晶化合物以及制备有序异质超晶格受到了广
82、泛关注,但由于表面化学反应复杂,在这一领域并没有取得实质性的突破。(2)稳步发展阶段稳步发展阶段:1983 年,第一个 ALD 商业化应用赫尔辛基机场电致发光显示屏出现。1989 年,使用 TMA 和 H2O 前驱体沉积出 Al2O3薄膜,此工艺成为后来研究 ALD 生长原理和表面化学反应最理想的体系。(3)高速发展高速发展阶段阶段:20 世纪 90 年代中后期,随着集成器件进一步微型化,结构进一步复杂化,深宽比越来越大,从最开始简单的 1:1 结构到 3:1 结构,再到超过 100:1 结构,相比其他传统薄膜制备技术,ALD 技术的优势逐渐体现,此后 ALD 技术迅速成长。2001 年 5
83、月,美国真空协会在加州蒙特雷举办第一届ALD 国际会议。2001 年,国际半导体行业协会将 ALD 与 MOCVD、PECVD 并列作为与微电子工艺兼容的候选技术。2007 年,Intel 公司在半导体工业 45nm 技术节点上推出酷睿微处理器,里面引入 ALD 沉积的高 k 栅介质和金属栅,此后ALD 逐步拓展到微电子、太阳能电池等应用领域。2020 年 ALD 技术可通过大部分元素、千余种工艺进行操作。图图 1313:ALDALD 技术发展历史技术发展历史 资料来源:李爱东,原子层沉积技术原理及其应用,微导纳米官网,Semicon China,光大证券研究所整理 ALD 技术是一种在可控速
84、率下通过将气相前驱体脉冲交替地通入反应室并在沉积基底上发生自限性表面饱和化学反应形成薄膜,其具备优秀的保形性、均匀性 敬请参阅最后一页特别声明-18-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)与台阶覆盖率,在当前国际上普遍应用于制备 Al2O3 薄膜,并被推广到微电子元器件、电致发光器件、光波导器件、抗腐蚀涂层等多领域。有别于传统的化学气相沉积 CVD,ALD 的独特之处在于将气相前驱体脉冲交替地通入反应室,即由前驱体在基材表面发生饱和化学吸附饱和化学吸附及自限性化学反应自限性化学反应,将原子一层层堆叠起来。其工艺优势来自其工艺优势来自 ALDALD 的两个自限制
85、半反应,以的两个自限制半反应,以 A Al l2 2O O3 3 薄膜制备为例子薄膜制备为例子2 2:热 ALD 的两个半反应:等离子体 ALD 的两个半反应:自限性自限性指的是当前驱体已经与所有可用的点位发生反应后,反应即停止,Al2O3 薄膜制备制备中,过量的前驱体 TMA(三甲基铝)并不会导致沉积厚度增厚,无需控制前驱体通入量的均匀性,可以通过控制循环次数实现精准的膜厚控制,从而实现保形性、均匀性与台阶覆盖率。单个原子层沉积循环:单个原子层沉积循环:TMA 蒸气脉冲进入反应室,与吸附在衬底或膜表面羟基团发生化学吸附反应。清洗气体(通常为惰性气体,高纯氮气或氩气)将多余的 TMA 蒸气和反
86、应副产物甲烷带出反应室。水蒸气脉冲进入反应室和 TMA 前驱体吸附的表面继续进行表面化学反应,生成羟基与甲烷。清洗气体把多余的水蒸气和反应副产物甲烷带出反应室。循环上述步骤,形成所需厚度的 Al2O3薄膜。图图 1414:原子层沉积技术原理示意图:原子层沉积技术原理示意图 图图 1515:TALDTALD 与与 PEALDPEALD 工艺的单循环原理图工艺的单循环原理图 资料来源:公司招股说明书,光大证券研究所整理 资料来源:张祥,刘邦武,夏洋,等.Al2O3 钝化及其在晶硅太阳电池中的应用J.物理学报,2012,61(18):444-452.2张祥,刘邦武,夏洋,等.Al2O3 钝化及其在晶
87、硅太阳电池中的应用J.物理学报,2012,61(18):444-452.敬请参阅最后一页特别声明-19-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)根据有无使用根据有无使用等离子等离子气体来促进前驱体反应性,可将气体来促进前驱体反应性,可将ALDALD分为分为TALTALD D(thermalthermal modemode)与)与PEALDPEALD(plasma modeplasma mode)。)。TALD由前驱体分子间自发性化学反应来完成薄膜沉积,其可沉积的常见薄膜为Al2O3、ZnO、TiO2、ZrO2、HfO2与TiN。等离子体增强ALD常以O2、N2、
88、Ar、NH4或其混合气体作为前驱体来产生所需要的氢、氧、氮自由基,其不仅可沉积二元金属氧化物或金属氮化物,还可沉积单一元素的薄膜。由于PEALD工艺中有高能量电离气体辅助反应,其工作温度比较不受限制,还可辅助活化能障高的表面进行反应,或同步进行元素掺杂,应用领域较广。其薄膜沉积其薄膜沉积质量的重要影响因子为:基板的选择;基板温度;反应腔体质量的重要影响因子为:基板的选择;基板温度;反应腔体温度;前驱体的选择;前驱体的通入量;前驱体通入的间隔时间。温度;前驱体的选择;前驱体的通入量;前驱体通入的间隔时间。TALD 与 PEALD 目前属于互补关系,部分关键工艺目前尚未采用热反应原理制备薄膜,可以
89、采用 PEALD 技术。从 TALD 到 PEALD 的工艺转换较为复杂,需要在 TALD 流场和温场基础上再加上一个电磁场并实现其均匀分布。表表 9 9:T TALDALD 与与 PEALDPEALD 对比对比 TALDTALD PEALDPEALD 沉积薄膜材料特性 Al2O3、ZnO、TiO2、ZrO2、HfO2与 TiN Al2O3、ZnO、TiO2、ZrO2、HfO2与 TiN 等二元金属氧化物或金属氮化物,还可沉积单一元素的薄膜 优势 保形性、均匀性较高 工作温度比较不受限制,还可辅助活化能障高的表面进行反应,或同步进行元素掺杂,工艺条件选择广;沉积速率高 劣势 前驱体材料受限,沉
90、积速率慢 高深宽比结构的表面台阶覆盖率受限制。氢气电离气体在高深宽比结构中稳定性不足,与结构侧面碰撞几率增加,沉积均匀性不足 资料来源:Raffaella Lo Nigro,Journal of Crystal Growth 539(2020)125624,公司招股说明书,光大证券研究所整理 2.1.22.1.2、前驱体材料多元,前驱体材料多元,ALDALD 技术应用广泛技术应用广泛 基于不同前驱体材料,基于不同前驱体材料,ALDALD 技术可以广泛适用于各种类型的衬底,在半导体、技术可以广泛适用于各种类型的衬底,在半导体、光电子、光学、能源、纳米技术、微机电系统、催化、显示器、生物、分离膜、
91、光电子、光学、能源、纳米技术、微机电系统、催化、显示器、生物、分离膜、耐腐蚀及密封涂层等领域都有应用前景。耐腐蚀及密封涂层等领域都有应用前景。半导体领域半导体领域的应用主要涉及逻辑器件和存储器,随半导体器件结构不断缩小且更为 3D 立体化,ALD 技术优势愈加明显,有望提高在半导体薄膜沉积环节的市占率。光学领域光学领域,ALD 技术在传统光学薄膜器件领域沉积速率慢,影响了规模化的商业应用。但由于 ALD 具有的三维共形沉积和大面积均匀性特点,使其在新型光 学器件,如光子晶体,光学微腔,纳米光栅等方面具有独特的优势,机遇与挑战并存。能源领域能源领域,ALD 技术相比 CVD、PVD 有独特优势,
92、主要体现在精确控制厚度至亚纳米和对三维结构完美包覆的特点,在解决新能源领域应用发展难题、提升电化学器件性能方面大有可为。但成本问题是阻碍 ALD 技术在能源领域产业化的最大障碍。为加快 ALD 工艺进程,从而降低成本,一般采取流化床反应室/旋转式反应室、批量处理、空间原子层沉积、常压原子层沉积技术等方法。表表 1010:ALDALD 主要应用领域主要应用领域 主要应用领域主要应用领域 应用介绍应用介绍 微电子领域 逻辑器件中的高 k 栅介电质 Si 基逻辑器件:在三维高 k、金属栅基 FinFET 结构的制作过程中,原子层沉积技术起到了关键作用,高 k 栅介质和纳米环栅的沉积都有赖于 ALD
93、在三维复杂表面生产中所表现出的优异共形性、大面积的均匀性和工艺控制的稳定性。Ge 基 MOSFET 器件:ALD 沉积有助于制备高质量 Ge 基 MOS 器件。但方法仍有不足,界面工程和硅集成工艺仍需要持续优化,才能获得高性能 Ge 基 MOSFET 器件。III-V 族 MOSFET 器件:ALD 方法有界面自清洁效果,适合做界面修饰;此外,还可以通过改变 ALD 沉积纳米叠层的工艺参数调节栅介质和半导体之间的能带补偿。碳纳米管和二维半导体 FET 器件:ALD 工艺提供了与碳纳米管结合良好的具有高电容的超薄栅介质,取得碳纳米 敬请参阅最后一页特别声明-20-证券研究报告 微导纳米(微导纳米
94、(688147.SH688147.SH)管晶体管优良性能的一个关键。存储器件 DRAM(动态随机存储器):电容器高深宽比增加,介电层和电极层的沉积只有具备优异填隙性和共形性的 ALD 技术才可以胜任。应用主要在以下几个关键层:上电极(TiN)、High-k 介电常数(HfO2,ZrO2,Al2O3)、下电极(TiN)、沉积 Si O2牺牲层。DPT(双重图形技术):目前利用 ALD 成为 DPT 无需昂贵成本而改进光刻能力必不可少的手段,DPT 可用于 DRAM的制备和 NAND 闪存的制备。RRAM(阻变存储器):通过采用等离子体增强 ALD(PEALD)制备的 TiN 电极、ALD 生长的
95、叠层结构或引入 ALD沉积的金属纳米晶,器件组变参数的一致性和重复性明显改善。FRAM(铁电随机存储器):目前共形沉积技术和蚀刻技术的不足阻碍了其在在未来微缩工艺中的三维集成,研究发现 ALD 制备的 Hf 基氧化物薄膜有望应用于下一代 FRAM。磁记录存储材料:随硬盘的存储密度高速增长,记录信息的铁磁颗粒尺寸不断缩小,制作工艺更为复杂,ALD 技术可有效解决在形成铁磁有序点阵过程中高温退火导致的问题,其他 金属互连/钝化层或籽晶层或扩散阻挡层(Cu/WN、W、Ru)光学领域 传统光学器件 ALD 已成功应用与一系列高质量光学薄膜、复合光学薄膜或纳米叠层薄膜的沉积,但缺点在于沉积速率太慢,低效
96、率、高成本阻碍了其在工业规模化生产中的应用。新型光学器件 光子晶体:ALD 技术特有的表面自限制反应机制和高填隙率改善了光子晶体的光学性质和可控性,增加了光子晶体在未来光学器件中的应用潜力。表面等离激元:表面等离激元在高灵敏生物检测、传感和新型光源等领域有广泛的应用前景。ALD 沉积的覆盖层起到了金属纳孔保护层的角色,此外,ALD 在制作各种各样的纳米间隙上有优势。光学微腔:高性能光学微器件对微腔传感器材料的表面平整性,共形性和均匀性有较高要求,ALD 在光学微腔的制备、修饰和光学性质调控方面有特殊优势,是研究中非常活跃的领域。其他 微米、纳米微棱镜阵列 超高分辨率的菲涅尔环板 能源领域 锂电
97、子电池 纳米材料作为锂离子电池的电极材料具有更大优势,主要在于提高了电池循环寿命、具有高充电放电速度、促进一些块状材料所不能发生的新反应,而原子层沉积由于其独特的共形沉积和简单精确的厚膜控制特点,在纳米结构的纳米薄膜包裹方面有巨大的优势。ALD 技术可应用在负极材料、正极材料、电极材料的表面修饰和三维全固态锂电池的 ALD 制备中 太阳能电池 纳米结构光电极 电极表面修饰钝化 通过量子点或金属纳米颗粒对电极表面进行敏化 对染料敏化太阳能电池和薄膜太阳能电池进行能带调控。其他 超级电容器 燃料电池 光电化学分解水 催化 氧化物催化剂 例如 MnOx,Nb2O5,NiO,CoOx,ZnO,TiO2
98、 金属催化剂 例如 Pt,Ir,Pd,Pt-Ir,Ru-Pt,Pt-Pd 光催化剂 例如 TiO2,CdS/TiO2,WO3 微机电系统 防磨损、防黏附、可润滑的涂层 Al2O3/TiN 磁头工业 非平面沉积绝缘间隔层 Al2O3 传感器 气体传感器 SnO2,PtSnO2,ZnO 纳米管 湿度传感器 Ta2O5多孔硅 生物传感器 Pt-IrAAO 纳米结构与图案 模板辅助的纳米结构 TiO2纳米管、单晶 Zn Al2O4纳米管、Al2O3/Ru 纳米通道,WN 反蛋白石 催化辅助的纳米结构 GaN 纳米线,ZnSe/CdSe 超晶格纳米线 区域选择 ALD 制备纳米图案 Al2O3,TiO2
99、,HfO2,ZnO,Pt,Ru,Ir,TiN,PbS 图案 有机电子封装 有机发光二极管、有机太阳能电池封装 Al2O3,纳米层状薄膜 Al2O3/ZrO2(SiO2)分离膜 过滤、气体分离 Al2O3,TiO2,SiO2 保护涂层 耐磨蚀刀具涂层 Al2O3,SiN4 耐腐蚀涂层 Al2O3,TiO2 密封涂层 Al2O3,纳米层状薄膜 Al2O3/HfO2 生物领域 天然纤维的改性增强 Al2O3,TiO2,ZnO 复制生物体结构 Al2O3,TiO2,HfO2 生物相容性涂层 Al2O3,TiO2,TiN,羟基磷灰石 资料来源:李爱东编著,原子层沉积技术原理及其应用,光大证券研究所整理
100、敬请参阅最后一页特别声明-21-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)2.22.2、半导体:半导体:先进制程演绎使先进制程演绎使 ALDALD 应用工艺增加应用工艺增加,叠叠加加国产化加速验证国产化加速验证 半导体制程进入纳米时代,晶圆制造复杂度和工序量大幅提升,半导体制程进入纳米时代,晶圆制造复杂度和工序量大幅提升,ALDALD 技术地位技术地位愈发重要。愈发重要。以逻辑芯片为例,随着 45nm 以下制程的产线数量增多,尤其是 28nm及以下工艺的产线,对镀膜厚度和精度控制的要求更高,在引入多重曝光技术后,ALD 需求工序数和设备数均大幅提高;在存储芯片领域
101、,主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增加,元器件逐步呈现高密度、高深宽比结构,ALD 逐渐呈现不可替代性,应用范围更加广泛。45nm 制程下的逻辑的 High-k Metal Gate 催生了单片晶圆处理 ALD(single-wafer ALD),ASM 占绝对领导地位;DRAM 电容器 high-K 则催生了多片晶圆处理 ALD(batch ALD 及 mini-batch ALD 复合机台),KE 与 TEL 优势更明显。2.2.12.2.1、逻辑:逻辑:HKMGHKMG 工艺工艺 逻辑电路中 ALD 的作用首现于 High-k 栅介质替代 Si
102、O2栅介质的 High-k 薄膜沉积,地位强化于 FinFet 与纳米环栅结构的出现,后段铜互连硅通孔深宽比提高,ALD 可在铜互连阻挡层充分发挥作用,晶体管微缩工艺深入发展,ALD 应用节点大幅增加,扩容市场。4 45nm5nm 以下制程及三维晶体管让以下制程及三维晶体管让 ALDALD 沉积沉积 HighHigh-k k 材料应运而生。材料应运而生。传统栅介质 SiO2与半导体衬底 Si 之间具有优异稳定的界面性能,在晶圆制造进入 65nm 制程及之前,集成电路主要通过沉积 SiO2薄膜形成栅极介质减少漏电,但进入 45nm 制程特别是 28nm 之后,传统的 SiO2-MOSFET(金属
103、氧化物半导体场效应晶体管)规模缩小到薄膜材料厚度需在 1nm 及以下时,将产生明显的量子隧穿效应,导致漏电流急剧增加,器件性能急剧恶化,因此替代 SiO2的High-k 材料被挖掘使用,其中,Hf 基氧化物系材料与稀土氧化物系材料最为适合,在所要求的电容密度下,栅电介质的物理厚度可沉积得更高,从而可以在降低等效氧化物厚度(EOT)的同时大幅减少漏电流。2007 年 Intel 公司推出基于45nm 制程的 Penryn 微处理器产品中,High-k 栅介质采用 ALD 沉积的 Hf 基氧化物薄膜。图图 1616:MOSFETMOSFET 结构及结构及 SiOSiO2 2与与 HighHigh-
104、K K 栅介电层比较栅介电层比较 增厚栅介电层减少漏电流 资料来源:中国电子科技集团公司第四十九研究所传感器与微系统,光大证券研究所 FinFetFinFet:拥有三维结构的:拥有三维结构的 FinFetFinFet 推出打破了推出打破了 MOSFetMOSFet 的平面结构,对的平面结构,对 ALDALD 的需的需求增加。求增加。半导体工艺遵循摩尔定律将晶体管导入微米、纳米级,而随着晶体管尺寸缩小,晶体管沟道也在不断缩短,导致量子隧穿效应极容易发生,造成栅与硅片漏电,无施加电压情况下,电子可以自由在源极与漏极之间穿行,晶体管的开 敬请参阅最后一页特别声明-22-证券研究报告 微导纳米(微导纳
105、米(688147.SH688147.SH)关可靠性存在问题。因此为突破 20nm 制程,胡正明教授发明的 FinFET 应运而生。栅极从三面包围沟道,通过立体结构取代平面器件增强栅极对沟道的控制面积与控制能力,抑制短沟道效应,同时鳍型垂直结构使得晶体管更加紧密连接在一起,晶体管密度更高。GAAFetGAAFet:2022 年初左右,数家晶圆厂宣布 3nm/2nm 逻辑芯片量产技术将从主流的鳍式场效晶体管转移到纳米片形式的晶体管结构,即从 FinFetFinFet 转移到转移到GAAFetGAAFet。纳米环栅(GAAFet)结构中导电通道完全被包围在高介电系数材料或金属闸极之中,可进一步增强栅
106、极对短通道的控制能力与微缩能力。FinFetFinFet 与与GAAFetGAAFet 使得晶体管的三维复杂度更高,对以高度保形性为亮点的使得晶体管的三维复杂度更高,对以高度保形性为亮点的 ALDALD 薄膜沉积薄膜沉积法更为依赖。法更为依赖。图图 1717:芯片线宽:芯片线宽 2 28 8nmnm 以下采用以下采用 3D3D 晶体管(晶体管(FinFETFinFET)图图 1818:3 3D D 晶体管应用增加,对晶体管应用增加,对 ALDALD 需求增加需求增加 资料来源:台积电官网,光大证券研究所 资料来源:LAM Research,NerissaDraeger 博士,光大证券研究所 图
107、图 1919:ALDALD 在在 FinFetFinFet 中的应用中的应用 资料来源:Applied Materials;Bryan,Garnier&Co 逻辑电路复杂逻辑电路复杂,铜互连阻挡层通孔深宽比加铜互连阻挡层通孔深宽比加大大,ALDALD 适用适用。敬请参阅最后一页特别声明-23-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)铜互铜互连阻挡层可防止铜与硅之间相互扩散,逻辑电路中的铜互连结构较为复杂,连阻挡层可防止铜与硅之间相互扩散,逻辑电路中的铜互连结构较为复杂,且随着集成电路特征尺寸的不断减小,硅通孔(且随着集成电路特征尺寸的不断减小,硅通孔(TSVTS
108、V)孔径不断缩小,深宽比不)孔径不断缩小,深宽比不断加高,断加高,因此,必须使用超薄的扩散阻挡层。在 28nm 制程中,逻辑器件中金属栅(Metal Gate)、铜互连与 SiO2的阻挡层阻挡层 Ti、Al、TiN 薄膜、TaN 使用 PVD沉积,钨用 CVD。但 PVD 在精细复杂的铜互连结构中存在阴影效应,当沟槽宽度为 20-30nm 时候难形成均匀薄膜。CVD 因具有良好台阶覆盖率在 TaN 沉积中充分研究,但高温工艺保障 TaN 薄膜杂质含量低且导电性良好的同时与后道工艺兼容性较差。通过 ALD 技术沉积铜扩散阻挡层,在器件内部沟槽深宽比超过 100:1 时薄膜仍具有良好的保形性、均匀
109、性以及防扩散阻挡特性。图图 2020:浅绿色部分有阻挡层:浅绿色部分有阻挡层 资料来源:eet C,光大证券研究所 结合结合 ALDALD 优势,优势,1414nmnm 以下,以下,ALDALD 可适用更多的逻辑芯片制造工艺节点可适用更多的逻辑芯片制造工艺节点。因此,。因此,在逻辑电路中,随着制程精细化深度发展,在逻辑电路中,随着制程精细化深度发展,ALDALD 应用的工艺节点更多,市场空应用的工艺节点更多,市场空间将被打开。间将被打开。图图 2121:逻辑芯片各制程节点:逻辑芯片各制程节点 ALDALD 技术应用环节数量(个)技术应用环节数量(个)图图 2222:逻辑器件中:逻辑器件中 AL
110、DALD 与与 ALEALE 在各技术节点中的使用步骤数在各技术节点中的使用步骤数 02468101240nm28nm14nm7nm先进制程产能先进制程ALD应用节点ALD空间大幅增加 资料来源:微导纳米 IPO 推介会材料,光大证券研究所 资料来源:第 19 届国际原子层沉积会议,TechInsights,Rajesh Krishnamurthy,光大证券研究所 目前,代表全球最先进技术的晶圆制造厂台积电已于 2022 年 12 月底宣布量产3nm 制程,据台积电 Q4 业绩会数据,2022 年 Q4,其 5nm 制程收入占比已提 敬请参阅最后一页特别声明-24-证券研究报告 微导纳米(微导
111、纳米(688147.SH688147.SH)高至 32%,2022 年全年占比为 26%,从 2020Q2 的零收入占比至 2022Q4 的32%仅两年多时间,可见先进制程趋势明显,产值占比大,反映设备投资额亦会可见先进制程趋势明显,产值占比大,反映设备投资额亦会加大。加大。中国大陆晶圆厂中芯国际已实现 14nm 制程规模量产,而美国 BIS 于 2022年 10 月公布的 对向中国出口的先进计算和半导体制造物项实施新的出口管制要求全美设备制造商禁供中国大陆 14nm 以下先进制程设备,为国产先进制程国产先进制程设备导入中国大陆晶圆厂提供验证契机。设备导入中国大陆晶圆厂提供验证契机。图图 23
112、23:2 22 2Q4Q4 台积电台积电 5nm5nm 制程收入占比提高至制程收入占比提高至 3 32 2%图图 2424:2 2022022 年台积电年台积电 5nm5nm 制程收入占比提高至制程收入占比提高至 2 26 6%资料来源:台积电季度业绩演示文稿,光大证券研究所整理 资料来源:台积电季度业绩演示文稿,光大证券研究所整理 表表 1111:全球:全球 7 7 大大 ICIC 晶圆厂制程演进过程(单位:晶圆厂制程演进过程(单位:nmnm)I IC C 晶圆厂晶圆厂 20112011 20122012 20132013 20142014 20152015 20162016 2017201
113、7 20182018 20192019 20202020 20212021 2 2022022 TSMCTSMC(台积电)(台积电)28 20 16 10 7 5 3 IntelIntel(英特尔)(英特尔)22 14 10 SamsungSamsung (三星)(三星)28 20 14 10 7 5 3 GlobalGlobal FoundriesFoundries(格芯)(格芯)28 20 14 10 UMCUMC(联电)(联电)28 14 SMICSMIC (中芯国际)(中芯国际)28 14 h huaua HongHong GroupGroup(华虹半导体)(华虹半导体)28 资料来源
114、:各公司官网,半导体行业观察公众号,光大证券研究所 据中国证券报信息,2023 年一季度,晶圆代工厂从成熟制程到先进制程各项需求持续下修,多家晶圆厂产能利用率下滑至 70%左右,预计 23H1 各大晶圆代工厂产能利用率持续下滑。我们认为这将我们认为这将为为国产设备验证与国产先进国产设备验证与国产先进制程制程产线建产线建设设释放空间释放空间。据我们不完全统计,国内大部分晶圆厂存在先进制程所用的大尺寸晶圆扩产计划与需求,中芯国际 2023 年一季报披露其依据扩产计划推进相应资本开支,目前中芯深圳已进入量产,中芯京城预计 23H2 进入量产,中芯东方预计 23 年底通线,中芯西青处于建设中。我们认我
115、们认为先进制程制为先进制程制造能力上升到造能力上升到国家国家能力较量层面将会促使加速攻坚,能力较量层面将会促使加速攻坚,推动推动扩产落地。扩产落地。敬请参阅最后一页特别声明-25-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)表表 1212:中国大陆晶圆厂规划建设情况(不完全统计:中国大陆晶圆厂规划建设情况(不完全统计,截至,截至 20212021 年底年底)厂商厂商 公司主体名称公司主体名称 工厂代码工厂代码 状态状态 地点地点 晶圆尺寸晶圆尺寸 当前产能万片当前产能万片/月月 规划产能万片规划产能万片/月月 中芯国际 中芯国际集成电路制造(上海)有限公司 S1(F
116、ab1、2、3)建成 上海 8 英寸 11.5 13.5 中芯国际 中芯南方集成电路制造有限公司 SN1 建成 上海 12 英寸 1.5 3.5 中芯国际 中芯南方集成电路制造有限公司 SN2 在建 上海 12 英寸 0 3.5 中芯国际 中芯国际集成电路制造(北京)有限公司 B1(Fab4、6)建成 北京 12 英寸 5.2 6 中芯国际 中芯北方 B2 建成 北京 12 英寸 6.2 10 中芯国际 中芯京城 B3P1 在建 北京 12 英寸 0 5 中芯国际 中芯京城 B3P2 计划 北京 12 英寸 0 5 中芯国际 中芯京城 B3P3 计划 北京 12 英寸 0 5 中芯国际 中芯京
117、城 B3P4 计划 北京 12 英寸 0 5 中芯国际 中芯国际集成电路制造(深圳)有限公司 Fab15 建成 深圳 8 英寸 4.4 7 中芯国际 中芯国际集成电路制造(深圳)有限公司 Fab16A/B 建成 深圳 12 英寸 0 4 中芯国际 中芯国际集成电路制造(天津)有限公司 Fab7P2 建成 天津 8 英寸 9.5 18 中芯绍兴 中芯集成电路制造(绍兴)有限公司 建成 绍兴 8 英寸 4.25 10 中芯宁波 中芯集成电路制造(宁波)有限公司 N1 建成 宁波 8 英寸 1.5 1.5 中芯宁波 中芯集成电路制造(宁波)有限公司 N2 建成 宁波 8 英寸 0 2.75 华虹集团
118、(华虹半导体)华虹宏力 Fab1-3 建成 上海 8 英寸 17.8 18 华虹集团(上海华力)华力微电子 F5 建成 上海 12 英寸 3.5 3.5 华虹集团(上海华力)华力集成电路 F6 建成 上海 12 英寸 3 4 华虹集团(华虹半导体)华虹半导体(无锡)有限公司 Fab7 建成 无锡 12 英寸 2.5 8 华虹集团(上海华力)华力八厂 Fab8 计划 上海 12 英寸 0 4 华虹集团(华虹半导体 华虹九厂 Fab9 计划 无锡 12 英寸 0 8 晶合集成 合肥晶合集成电路有限公司 N1 建成 合肥 12 英寸 4.0 4 晶合集成 合肥晶合集成电路有限公司 N2 建成 合肥
119、12 英寸 0 4 晶合集成 合肥晶合集成电路有限公司 N3 计划 合肥 12 英于 0 4 资料来源:ittbank,光大证券研究所 敬请参阅最后一页特别声明-26-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)2.2.22.2.2、存储存储DRAMDRAM 相较于逻辑电路,相较于逻辑电路,ALDALD 在存储领在存储领域具备更大的市场空间,工艺节点应用更多,域具备更大的市场空间,工艺节点应用更多,深孔密集深孔密集 ALDALD 用量增加。用量增加。DRAM 广泛应用于智能手机、平板电脑、笔记本电脑、台式电脑、数据服务器和计算设备。早在 22nm FinFET CM
120、OS 和 3D NAND 闪存之前,DRAM HVM 中便应用了 3D 电容器。DRAM 单元有两个器件:一个 n 沟道 MOSFET(NMOS)作为存取晶体管,一个用于数据存储的电容器,电容器分为深沟槽式电容与堆叠式电容。图图 2525:深沟槽式电容:深沟槽式电容 图图 2626:堆叠式电容:堆叠式电容 资料来源:3D IC devices,technologies,and manufacturing,Hong Xiao,光大证券研究所 资料来源:3D IC devices,technologies,and manufacturing,Hong Xiao,光大证券研究所 DRAMDRAM 对
121、传输速度与内存容量的追求使得对传输速度与内存容量的追求使得 DRAMDRAM 制程不断朝着微缩方向发展,制程不断朝着微缩方向发展,即即 DRAMDRAM 技术发展路径本质是以微缩制程来提高存储密度。技术发展路径本质是以微缩制程来提高存储密度。随着 5G 通信、汽车智能化、AI、边缘计算等应用场景对存储性能要求提高,DRAM 制程不断微缩。DRAM 三巨头三星、SK 海力士、美光在 2016-2017 年进入 1X(16nm-19nm)阶段,2018-2019 年为 1Y(14nm-16nm),2020 年处于 1Z(12nm-14nm)时代,目前各家朝着 10nm 逼近。表表 1313:DDR
122、DDR1 1-DDRDDR5 5 主要指标比较主要指标比较 产品标准产品标准 DDR1DDR1 DDR2DDR2 DDR3DDR3 DDR4DDR4 DDR5DDR5 标准发布时间标准发布时间 2000 2003 2007 2012 2020 工作电压工作电压 2.5V 1.8V 1.5V 1.2V 1.1V 预取缓冲区大小预取缓冲区大小 2 4 8 8 16 颗粒容量颗粒容量 128Mb-1Gb 128Mb-4Gb 512Mb-8Gb 2Gb-16Gb 8Gb-64Gb 速度(速度(MT/sMT/s)200-400 400-800 800-2133 1600-3200 3200-6400 资
123、料来源:全球半导体观察,光大证券研究所 敬请参阅最后一页特别声明-27-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图图 2727:DRAMDRAM 发展路线图发展路线图 资料来源:techinsights,光大证券研究所 微缩在微缩在 DRAMDRAM 工艺上主要带来两大变化:工艺上主要带来两大变化:(1 1)增加高深宽比倍数补偿微缩带来的单元电容损失。)增加高深宽比倍数补偿微缩带来的单元电容损失。微缩使得电容有效面积减少,导致电荷与电容减少,为解决此问题,40nm 以上制程可以使用圆柱形电容器来最大化有效电容面积,而 20nm 以下制程需要增加电容器高度即高深宽
124、比来增加电容。电介质层微小的不一致性即可导致电场差异,不利于泄漏控制,而高深宽比对于电容电介质层薄膜的均匀性提出挑战,具备高台阶覆盖率与一致性的 ALD 薄膜沉积技术最适合。图图 2828:DRAMDRAM 制程微缩带来电容(制程微缩带来电容(C CS S)减少问题)减少问题 资料来源:A.Spessot,H.Oh,IEEE Trans.Electron Devices 2020,67,1382.,光大证券研究所 敬请参阅最后一页特别声明-28-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)圆柱形电容器圆柱形电容器由金属上电极、High k 介电层、金属下电极构成,即
125、 MIM 结构,high k 薄膜与上电极通过 ALD 沉积于圆柱内壁,厚度一般低于 5nm,但漏电相应增加,可通过加高的柱状电容解决,其 high k 薄膜可保持在 10nm 左右。柱状电容器柱状电容器有效面积相对圆柱电容小,不仅需要增加高度还需提高介电常数 k以补偿电容损失,因此对于柱状电容器而言,high k 从材料选择到薄膜沉积质量显得更加重要。图图 2929:圆柱形电容器:圆柱形电容器 图图 3030:柱状式电容器:柱状式电容器 资料来源:Adv.Mater.Technol.2022,2200878,光大证券研究所 资料来源:Adv.Mater.Technol.2022,220087
126、8,光大证券研究所(2 2)HKMGHKMG 解决解决 DRAMDRAM 外围晶体管高性能与低功耗矛盾。外围晶体管高性能与低功耗矛盾。同样缘于 DRAM 制程微缩,DRAM 外围/核心晶体管特性正成为 DRAM 的瓶颈,过往 HKMG 主要用于逻辑芯片,外围/核心晶体管亦引入 HKMG 工艺。2021 年,三星电子首次将HKMG 工艺用于 DDR5,推动商业化进程,HKMG DDR5 内存模块的功耗比传统工艺减少约 13%。海力士在 2022 年实现全球首次在移动端 DRAM(LPDDR)应用 HKMG 工艺,将其用于全新的 1anm LPDDR5X DRAM。图图 3131:H HKMGKM
127、G 在逻辑与在逻辑与 DRAMDRAM 中应用中应用 图图 3232:HKMGHKMG 在在 DRAMDRAM 中应用的效用中应用的效用 资料来源:SK 海力士官方微信公众号,光大证券研究所 资料来源:SK 海力士官方微信公众号,光大证券研究所(3 3)多重图形化方案解决深紫外光刻机)多重图形化方案解决深紫外光刻机 DUVDUV 先进制程应用问题与极紫外光刻机先进制程应用问题与极紫外光刻机EUVEUV 供给问题。供给问题。市场主流供应的 DUV 深紫外光刻机波长是 193nm 的 ArF 光源,分辨率极限是 38nm,通过多重曝光技术可实现 7nm 制程光刻,使光刻工艺克服光刻分辨率极限问题。
128、EUV 光刻机波长是 13.5nm,目前全球只有 ASML 一家 敬请参阅最后一页特别声明-29-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)可生产,ASML 自 2006 年推出第一代 EUV 光刻机,2017 年才正式推出支持 7nm和 5nm 制程量产的 EUV。适用 7nm 以下制程的 EUV 供给量在追赶摩尔定律演变过程中有所不足,DUV+多重曝光技术解决了向 EUV 过渡所出现的设备供给不足与专用资产重置成本问题。图图 3333:光刻技术迭代过程:光刻技术迭代过程 资料来源:中国科学院微电子研究所光刻技术六十年,ASML 2022 年年报,光大证券研究所
129、整理 SAxPSAxP(SELF ALIGNED DOUBLE/QUADRUPLE PATTERNINGSELF ALIGNED DOUBLE/QUADRUPLE PATTERNING):通过一次光刻和刻蚀工艺形成轴心图形,然后在侧壁通过原子层淀积和刻蚀工艺形成侧墙图形,再去除轴心层,形成节距减半的侧墙硬掩模图形。LELELELE(LITHOLITHO-ETCHETCH-LITHOLITHO-ETCHETCH):):在同一衬底上顺序进行光刻-刻蚀-光刻-刻蚀工艺使得图形密度提高一倍。先通过光刻和刻蚀形成第一光刻胶图案,将其转移至下方掩模层,再形成第二光刻胶图案,最后将第一和第二图案转移至下方材
130、料层,进行刻蚀得到节距减半的图形,LFLELFLE(LITHOLITHO-FREEZEFREEZE-LITHOLITHO-ETCHETCH):):在同一衬底上顺序进行光刻-固化-光刻-刻蚀工艺,是 LELE 的变体。先将第一光刻胶图案曝光,使用化学处理将其固化,再形成第二光刻胶图案,最后进行刻蚀得到节距减半的图形。图图 3434:SADPSADP 图图 3535:LELELELE 图图 3636:LFLELFLE 资料来源:Mack,”Seeing Double,”IEEE Spectrum(2008),光大证券研究所 资料来源:Mack,”Seeing Double,”IEEE Spectr
131、um(2008),光大证券研究所 资料来源:Mack,”Seeing Double,”IEEE Spectrum(2008),光大证券研究所 敬请参阅最后一页特别声明-30-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)多重曝光应用目的为实现精细光刻,对薄膜沉积的均匀性要求高,可精准控制薄膜厚度与均匀性的 ALD 工艺为合适选择。逻辑芯片中逻辑芯片中 1 14 4nmnm 以下需要用到自对以下需要用到自对准双重图案化(准双重图案化(SADPSADP),而),而 2 25 5nmnm 制程以下的存储即需要用到制程以下的存储即需要用到 SADPSADP,所以存,所以存储领
132、域的多重曝光应用空间较逻辑芯片更大。储领域的多重曝光应用空间较逻辑芯片更大。DUVDUV+多重曝图形化工艺成为首选过渡方案。多重曝图形化工艺成为首选过渡方案。目前,ASML 是全球唯一能够生产极紫外光刻机的公司,据 ASML 财报,截至 2022 年底,ASML 面向 5 大客户实际销售 EUV 光刻机仅 182 台。据 ASML 官网声明,自 2019 年以来,ASML 的EUV 光刻机销售已经受到限制。源头供应短缺叠加地缘政治与技术竞争等多重不利背景下,EUV 成为中国大陆芯片制造“卡脖子”环节。DUV+DUV+多重曝光技术多重曝光技术可实现可实现 2828nmnm 以下制程光刻,成为替代
133、以下制程光刻,成为替代 E EUVUV 光刻的过渡方案光刻的过渡方案,可应用于先进可应用于先进制制程程产线,同时多重曝光增加了薄膜沉积工序,进一步拓宽产线,同时多重曝光增加了薄膜沉积工序,进一步拓宽 ALDALD 应用市场。应用市场。图图 3737:ASMLASML 的收入主要来自的收入主要来自 EUVEUV 与与 ArFiArFi 光刻机光刻机 图图 3838:2 2022022 年年 A ASMLSML 共销售共销售 4 40 0 台台 EUVEUV 光刻机光刻机 资料来源:ASML 2022Q4 业绩展示材料,光大证券研究所 资料来源:ASML 2022Q4 业绩展示材料,光大证券研究所
134、 此外,浅槽隔离、硅通孔、衬垫层,铜扩散阻挡层、籽晶层、钨塞、钨阻挡层等均可以使用 ALD。2.2.32.2.3、存储存储3 3D NANDD NAND 为克服平面 NAND 闪存阵列的缩放限制,满足更大存储需求的 3D NAND 被开发出并成功批量商业化。3D NAND 是指存储单元的垂直堆叠,位密度随着堆叠层数的增加而提高,存储容量随之变大。且每层的每个存储单元,是在堆叠完成的垂直方向上刻蚀完成,层数增加,通孔深宽比递增。3D NAND 3D NAND 复杂结构需要制造高的纵深比,复杂结构需要制造高的纵深比,关键工艺包括叠层沉积、高深宽比通道孔蚀刻与栅极沉积、字线金属化、阶梯蚀刻、高深宽比
135、狭缝蚀刻,以及阶梯接触线成型等。其中栅极沉积和字线金属化对沉积工艺提出了极高要求,在这方面 ALD 工艺比传统 CVD 及 PVD 工艺更具优势。垂直堆叠的高深宽比垂直通孔中薄膜沉积是一大难题,垂直堆叠的高深宽比垂直通孔中薄膜沉积是一大难题,90+90+层层 3D NAND3D NAND 中通道中通道孔纵横比已超过孔纵横比已超过 40:140:1。3D NAND 沟道孔中分别交替沉积绝缘层二氧化硅与栅极氮化硅,刻蚀氮化硅后需要沉积一层栅极 high-k 与一层 TiN,由于沟道深宽比高与“梳子型”结构,需要使用 ALD 才能得到高度均匀与高台阶覆盖率的薄膜。敬请参阅最后一页特别声明-31-证券
136、研究报告 微导纳米(微导纳米(688147.SH688147.SH)多层堆叠中多层堆叠中字线填充字线填充环节环节钨钨的的沉积沉积存在两个明显的问题存在两个明显的问题。(1)氟从钨膜扩散到电介质中会导致物理缺陷;(2)超过 48 层堆叠会放大器件应力导致过度弯曲。由此造成存储容量损失、器件性能与可靠性下降。由于上述问题,用于先进 3D NAND 的钨膜必须显着降低氟和内在应力,Lam Research 推出的 LFW ALD 工艺可解决上述问题。相较于相较于 CVDCVD 沉积钨,沉积钨,ALDALD 在大量水平分层中能在大量水平分层中能够实现更精够实现更精确、更均匀的填充,使得存储公司可开发具
137、有更多层、更细的字线、更小的单元确、更均匀的填充,使得存储公司可开发具有更多层、更细的字线、更小的单元和更大容量的闪存结构。和更大容量的闪存结构。图图 3939:ALDALD 在在 3D3D NANDNAND 中的应用中的应用 资料来源:ElectrolQ,光大证券研究所 图图 4040:NAND CellNAND Cell 结构图结构图 图图 4141:NAND CellNAND Cell 沉积工艺流程沉积工艺流程 资料来源:semi connect,光大证券研究所 资料来源:semi connect,光大证券研究所 当前堆叠层数瓶颈尚未达到,未来还有很大提升空间。当前堆叠层数瓶颈尚未达到,
138、未来还有很大提升空间。自 2007 年东芝首次报告 3D NAND 以来,堆叠层数已从 4 层增长到超过 200 层,SK 海力士于 2022年 10 月份推出 238 层 3D NAND,为目前 NAND Flash 厂商制程的最高点。同时,SK 海力士亦预测,3D NAND 在发展到层数超过 600 层时瓶颈才会到来,技术升级空间仍比较大,ALD 的在 3D NAND 中的应用空间还处于成长期。敬请参阅最后一页特别声明-32-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)表表 1414:主要:主要 NAND FNAND Flashlash 厂商制程变化及预测厂商制
139、程变化及预测 厂商厂商 20142014 20152015 20162016 20172017 20182018 20192019 20202020 20212021 2022022 2 三星三星 2D 19nm 16nm 14nm 3D3D 3232 层层 20nm20nm 4848 层层 20nm20nm 6464 层层 20nm20nm 9696 层层 20nm20nm 128128 层层 20nm20nm 160160 层层 236236 层层 东芝、西数东芝、西数 2D 19nm 第一代 15nm 第二代 15nm 3D3D 4848 层层 19nm19nm 6464 层层 19nm
140、19nm 9696 层层 19nm19nm 128128 层层 19nm19nm 192192 层以上层以上 美光、英特尔美光、英特尔 2D 16nm 3D3D 3232 层层 40nm40nm 6464 层层 20nm20nm 9696 层层 128128 层层 192192 层层 2 23232 层层 海力士海力士 2D 16nm 15/14nm 3D3D 3636 层层 31nm31nm 4848 层层 31nm31nm 7272 层层 31nm31nm 9696 层层 128128 层层 238238 层层 长江存储长江存储 3D3D 3232 层层 6464 层层 128128 层层
141、 20222022 年闪存峰年闪存峰会发布第四代会发布第四代3D TLC3D TLC(X3X3-90709070)闪)闪存存 资料来源:各公司官网,各公司官方微信公众号,2022 年闪存峰会(FMS),光大证券研究所 2.2.42.2.4、存储存储新型存储之铁电存储新型存储之铁电存储3 3 当前存储器研究方向正在向非电荷存储器方向发展,主要的研发热点有铁电存储器(FeRAM)、磁阻存储器(MRAM)、相变存储器(PCM)、碳纳米管(CNT)等。HfOHfO2 2无微缩临界值的铁电特性被发掘,铁电存储器优势尽显。无微缩临界值的铁电特性被发掘,铁电存储器优势尽显。铁电存储又称为铁晶存储,采用高介电
142、常数铁电材料 PZT(锆钛酸铅)、SBT(钽酸锶铋)等铁电材料的铁电性和铁电效应来进行非易失性数据存储的存储器。由于 PZT 铁电材质并不相容于目前的 IC 电路制程,以 PZT 为主的铁电存储器在半导体存储器市场中占极小比例。2011 年首次发现以 Si 掺杂的 HfO2具有铁电特性后,这种具氟石(fluorite)结构的氧化物如掺杂的 HfO2或 HfO2/ZrO2之固溶体(solid solution)引起了学界与业界高度的瞩目,不同结构的HfO2基铁电存储器(1T1C、FeFET 和 FTJ)已相继问世。图图 4242:铁电存储器工作原理:铁电存储器工作原理 资料来源:国芯思辰官方微信
143、公众号,光大证券研究所 3 新型存储器以四大主流技术路线为主:PCM、RRAM、MRAM、FeRAM 敬请参阅最后一页特别声明-33-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)相较于传统的钙钛矿铁电材料,氟石结构氧化物铁电层拥有如下优点:相较于传统的钙钛矿铁电材料,氟石结构氧化物铁电层拥有如下优点:材料与制程完全相容于现有先进制程技术,更重要的是,在 10 nm 等级的厚度下 HfO2为基础的铁电层仍保有铁电性。基于 HfO2的铁电层厚度微缩至 1nm,自发极化与可改变极化方向之现象仍可持续出现,意味着具有铁电性的 HfO2薄膜并无微缩的临界值,微缩厚度可强化极化
144、形变,对于以极化驱动之存储器元件有相当优异的发展优势。基于 HfO2的氧化层具有铁电性的原因在于热力学稳定下的HfO2不论从实验或是计算的平衡相图均显示其为不具铁电性的中心对称结构。图图 4343:PZT PZT 与与 HfOHfO2 2铁电层的特性差异比较铁电层的特性差异比较 资料来源:echnews,半导体产业纵横,光大证券研究所 铁电存储器本质是随机存储器。铁电存储器将动态随机存储器(DRAM)的快速读取和写入访问,与在电源关掉后保留数据能力结合起来。相较于其他类型存储器,铁电存储拥有如下优点:相较于其他类型存储器,铁电存储拥有如下优点:读写速度快,读写速度达到70ns 级,读写周期速度
145、可达 130ns 级,接近 DRAM 存储器;读写次数多,拥有几乎无限的擦写次数,重复擦写可达万亿次,超出擦写次数后流失其非易失性,变为普通 RAM,与传统非易失性存储器相比,EEPROM 典型值 100 万次,FLASH典型值 10 万次;功耗低,铁电存储器可使用 1.5V-5V 电源电压,而 EEPROM需要 10-14V 电压;基于铁电晶体固有的偏振磁化特性,FRAM 抗磁场,抗干扰能力强,具备防窜改性,可适应安全/保密要求较高的工况。表表 1515:铁电存储器与主流非易失性存储器及:铁电存储器与主流非易失性存储器及 SRAMSRAM 区别区别 FRAMFRAM EEPROMEEPROM
146、 FLASHFLASH SRAMSRAM 易失性 非易失性 非易失性 非易失性 易失性 写入次数(典型值)1 千亿次 50 万次 100 万次 无限制 周期读写速度(典型值)130ns 5ms 10us 10-20ns 平均做功功率(A/MHz)80 高达 10mA 260 10nm/min(in-situdoping)10nm/min(in-situdoping)WPH/台 单插:4300pcs,双插:8000pcs 4200pcs 8000pcs 掺杂方式 P扩散/离子注入 原位掺杂(增加退火)原位掺杂(增加退火)原位掺杂(增加退火)PVD硅(靶材),PECVD磷(PH3)随穿氧化层生长方
147、式 原位/热氧化 PEALD(甲基硅烷)、O2 plasma、N2O plasma 连续O2plasma、N2O plasma 绕镀情况 LAPLACE水平插片:四周均匀、10mm以内(已解决,年底推广)竖直插片;四周不均匀,10-30mm 绕镀面积较小,边缘2mm以内 取决于上下镀膜方式以及载板设计,边缘2mm以内或边缘无膜 运行成本(石英件维护)石英舟寿命6个月/清洗周期15天 石英管寿命4-12个月(不清洗+涂层)个别厂家使用金属套管存在掉渣风险 石墨舟清洗周期30-40run 板式运行方式,容易掉片,开腔维护保养时间长,uptime低保养周期30天,保养时间2天;换靶材需要3天 效率
148、GW量产效率24.99%研发实验室最高效率25.7%待GW级量产验证 量产效率24.5%优势 1、热氧化、原位生长氧化质量高;2、氧化层厚度与温度线性关系较好;3、Poly生长质量高,钝化效果高 1、镀膜速率快,单机台产能大,投入成本低 2、绕镀2mm,清洗容易 1、无绕镀,清洗方式简单 敬请参阅最后一页特别声明-46-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)劣势 1、石英件寿命较低,影响运营成本 1、氧化层生长不均匀效率离散性较高;2、原位掺杂会导致陶瓷环导电,石墨舟维护周期短;3、存在掉粉问题;4、PH3耗量高 1、设备uptime低,下镀膜碎片清理较难,故
149、障率高;2、设备价格昂责;3、边缘无膜,清洗需要单独处理,影响效率 资料来源:拉普拉斯高温钝化接触电池技术路线分析及设备发展方向,光大证券研究所 微导纳米开发出了微导纳米开发出了 ZR50002ZR50002 PEALD“PEALD“二合一二合一”产品产品,创新性地将 ALD 技术应用于氧化硅层的制备,能够连续完成 TOPCon 电池的背膜结构(隧穿氧化硅/原位掺杂多晶硅)镀膜,采用 ALD 技术可以获得超薄(2nm)、大面积均匀性、致密性好、无针孔的氧化硅层。据 ITRPV2021 年报告,未来 PECVD poly-si路线占比将逐年提升,微导纳米 PEALD 二合一设备面向的市场空间将更
150、加广阔。图图 6464:原位掺杂与非原位掺杂市占率:原位掺杂与非原位掺杂市占率 图图 6565:不同镀膜技术路线市占率:不同镀膜技术路线市占率 资料来源:ITRPV 2021 年报告,光大证券研究所 资料来源:ITRPV 2021 年报告,光大证券研究所 2.3.32.3.3、光伏电池技术迭代窗口光伏电池技术迭代窗口期期缩短,成长行业属性强化缩短,成长行业属性强化 光伏行业对降本增效的追求使得核心电池片环节技术迭代层出不穷,光伏行业对降本增效的追求使得核心电池片环节技术迭代层出不穷,20152015 年至年至今光伏电池片技术从今光伏电池片技术从 BSFBSF 迭代至今已是百花齐放。迭代至今已是
151、百花齐放。图图 6666:光伏电池技术迭代路线:光伏电池技术迭代路线 资料来源:一道新能源会议资料,光大证券研究所 敬请参阅最后一页特别声明-47-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)2015 年之前,光伏电池技术以多晶 AL-BSF 为主,单晶 PERC 电池尚处于技术验证阶段,试验产能增速快但总量小。2015-2017 年,PERC 量产成功使得 PERC 商业化可行性得到认可,国内厂商加码投资 PERC 电池生产,但此时 AL-BSF 电池性能稳定,综合生产成本低,仍占据主要地位,据 CPIA 数据,2017 年 BSF 电池技术市场占比为 83%。2
152、018-2021 年 PERC 电池产能开始快速增长,据 CPIA 数据,2018-2021 年PERC 电池技术市场占比从 33.5%陡峭增长至 91.2%,充分说明光伏电池片充分说明光伏电池片技术市场具备非技术市场具备非 0 0 即即 1 1 的特征,新技术的渗透率或超出市场初始预期的特征,新技术的渗透率或超出市场初始预期。20 年则是年则是 TOPCONTOPCON 电池产能建设与释放电池产能建设与释放元年,各类新型高效电池技元年,各类新型高效电池技术亦在产业博弈中竞相推出。术亦在产业博弈中竞相推出。据 CPIA 数据,PERC 电池片市场占比下降至88%,
153、n 型电池片占比合计达到约 9.1%,其中 n 型 TOPCon 电池片市场占比约 8.3%,异质结电池片市场占比约 0.6%,XBC 电池片市场占比约0.2%,由于部分海外市场如印度、巴西等国家仍对成本低廉的 BSF 产品有需求,国内一些细分市场如太阳能路灯等产品在使用,2022 年 BSF 电池片市场占比约 2.5%。图图 6767:BSFBSF、PERCPERC、TOPCONTOPCON 演绎演绎 资料来源:CPIA 及其预测,光大证券研究所 短期内市场流通的电池片、组件以短期内市场流通的电池片、组件以 PERCPERC 技技术为主,但术为主,但 TOPConTOPCon 电池技术力量电
154、池技术力量亦在雄起,各家新晋亦在雄起,各家新晋 HJTHJT 电池厂商亦电池厂商亦紧追不舍,钙钛矿产业投资热火朝天,充紧追不舍,钙钛矿产业投资热火朝天,充分彰显分彰显光伏行业的成长性。对于成熟的光伏行业的成长性。对于成熟的“卖铲人卖铲人”设备商而言,新电池技术从设备商而言,新电池技术从“0 0-1 1”、“”、“1 1-N N”的”的发展过程中发展过程中市场环境相对友好,设备成熟、市场开拓顺利、市场环境相对友好,设备成熟、市场开拓顺利、善于善于紧密跟随行业成长步伐紧密跟随行业成长步伐的设备厂商将的设备厂商将最为受益。最为受益。ALDALD 设备具有在高效电池技术中应用前景广阔的特点。设备具有在
155、高效电池技术中应用前景广阔的特点。HJTHJT:HJT HJT 电池以电池以 N N 型单晶硅(型单晶硅(C C-SiSi)为衬底光吸收区,)为衬底光吸收区,其其正反面均要镀制正反面均要镀制5 5-10nm10nm 的本征非晶硅层作为钝化膜。的本征非晶硅层作为钝化膜。其正面依次沉积厚度为 5-10nm 的本征非晶硅薄膜(i-a-Si:H)和掺杂的 P 型非晶硅(P-a-Si:H),和硅衬底形成 p-n 异质结。硅片的背面又通过沉积厚度为 5-10nm 的本征非晶硅薄膜(i-a-Si:H)和掺杂的 N 型非晶硅(n-a-Si:H)形成背表面场。双面沉积的透明导电氧化物薄膜(TCO)可减少收集电流
156、时的串联电阻,还可做减反层。敬请参阅最后一页特别声明-48-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)TCO 透明导电膜主要制备路线有 PVD(适配 ITO 氧化铟锡)与 RPD(适配 IWO掺钨氧化铟)两种方法。微导纳米目前正在开发微导纳米目前正在开发 ALDALD 制备制备 TCOTCO 透明导电层的工透明导电层的工艺方法。艺方法。表表 2323:HJT TCOHJT TCO 制备路线制备路线 RPDRPD反应等离子体沉积反应等离子体沉积 PVDPVD磁控溅射磁控溅射 描述 利用等离子枪产生氩等离子体,氩等离子体进入生长腔后,在磁场作用下轰击靶材,靶材升华形成
157、蒸气实现薄膜沉积 氩气在高压下电离产生氩粒子,氩粒子在磁场的坐下下轰击靶材,使靶材表面的原子溅射到衬底表面形成薄膜 图示 靶材 IWO和ICO ITO和SCOT 优点 1.镀膜质量优,等离子体能量分布相对集中且离化率更高,高能离子较少,表现出低离子损伤的优良特性 2.沉积温度低,沉积速率高 1.工艺稳定,膜厚均匀易控;2.靶材利用率高,成本低 缺点 1.日本住友专利问题 2.设备成本高 3.靶材利用率低且靶材昂贵,成本高 1.采用磁控游射制备TCO,粒子轰击较高,损伤较大 2.沉积速率较慢 3.ITO光电学性能差于RPD制备的IWO导电薄膜 主要厂商 住友,捷佳伟创,精耀 MB,迈为,冯阿登纳
158、,Singulus,钧石,捷佳伟创,捷造光电,ULVAC 描述 利用等离子枪产生氩等离子体,氩等离子体进入生长腔后,在磁场作用下轰击靶材,靶材升华形成蒸气实现薄膜沉积 氩气在高压下电离产生氩粒子,氩粒子在磁场的坐下下轰击靶材,使靶材表面的原子溅射到衬底表面形成薄膜 资料来源:InfoLink Consulting,光大证券研究所 ALDALD 在钙钛矿中的应用:在钙钛矿中的应用:(1)电子传输层和空穴传输层制备:电子传输层和空穴传输层制备:ALD 可以沉积具有极佳均匀性和共形性的薄膜,有效避免孔洞和裂缝的出现,保证器件光电性能的连续性,从而有效提升开路电压、填充因子和有关器件的稳定性。ALD
159、可沉积 TiO2/SnO2/ZnO 等薄膜作为电子传输层,使钙钛矿电池获得更高的光电转换效率。同时,ALD 可用于沉积 NiO/CuOx 等薄膜作为空穴传输层。(2)单结单结钙钛矿电池的封装钙钛矿电池的封装层层:通过 ALD 沉积的 Al2O3等致密金属化合物阻隔层,覆盖钙钛矿电池表面,作为封装材料具有良好的阻挡外界水汽入侵的性能,提供稳定性。(3)叠层钙钛矿电池叠层钙钛矿电池,ALDALD 生长生长 SnOSnO2 2致密薄膜起到阻挡层作用:致密薄膜起到阻挡层作用:减小透明导电膜 ITO 制备中引起的溅射损失;叠层钙钛矿间取代磁控溅射的 ITO,减少寄生吸收,降低成本,防止相邻电池短路。20
160、22 年,微导纳米 ALD 设备出至海外。目前 ALD 在钙钛矿中应用的主要问题是产能节拍慢、成本高,随着未来几年钙钛矿电池商业化落地与 ALD 设备工艺改进,ALD 设备在钙钛矿电池产线中或将取得重要地位。敬请参阅最后一页特别声明-49-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图图 6868:双面全钙钛矿叠层电池示意(左)和扫描电镜(右)双面全钙钛矿叠层电池示意(左)和扫描电镜(右)资料来源:Hongjiang Li 等,Revealing the output power potentialof bifacial monolithic all-perovsk
161、ite tandemsolar cells,光大证券研究所 表表 2424:钙铁矿电池:钙铁矿电池 oror 组件不同技术路线最新转换效率组件不同技术路线最新转换效率 日期日期 研究企业研究企业/团队团队 转换效率转换效率 钙钛矿电池钙钛矿电池/组件组件 2023 年 4 月 协鑫光电 16.02%1m*2m 大尺寸组件 2023 年 3 月 极电光能 19.90%809.8cm大尺寸钙钛矿光伏组件 2023 年 2 月 脉络光能 44.72%室内钙钛矿光伏电池 2023 年 1 月 仁烁光能 29.00%全钙钛矿叠层电池稳态光电转换效率 2023 年 1 月 曜能科技 32.44%小面积钙钛
162、矿/晶硅两端叠层电池 2023 年 2 月 曜能科技 29.57%25cm2大面积钙钛矿/晶硅两电极叠层电池器件 2022 年 11 月 暨南大学新能源技术研究院教授麦耀华团队 36.00%钙铁矿室内用光伏组件稳态效率 2022 年 12 月 欧洲 solliance 合作机构 TNO、TU Eindhoven、Imec 和 TUDelft 30.00%四端钙钛矿/硅叠层太阳能电池 2022 年 12 月 德国柏林亥姆霍兹中心(HZB)32.50%小面积钙钛矿/硅串联太阳电池 2022 年 9 月 无限光能 23.60%单结柔性钙钛矿太阳能电池 2021 年 10 月 韩国蔚山国家科学技术研究
163、所(UNIST)25.70%钙钛矿最高单结效率 资料来源:北极星太阳能光伏网,中国证券网,光大证券研究所 目前,微导纳米 ALD、PEALD、PECVD 设备已在 PERC 电池中的 Al2O3工艺和 SiNX 工艺、TOPCon 电池正面 Al2O3和背面隧穿氧化层+掺杂多晶硅层、xBC正面 Al2O3层实现产业化应用,HJT 的透明导电层处于开发阶段、钙钛矿封装层已进入验证阶段,有望在下一代光伏电池新技术进入量产有望在下一代光伏电池新技术进入量产前夕前夕成功打入供应链。成功打入供应链。3 3、研发团队实力雄厚,以研发团队实力雄厚,以 ALDALD 核心技术为核心技术为基点多元布局基点多元布
164、局 3.13.1、核心研发团队实力雄厚,研发投入核心研发团队实力雄厚,研发投入与转化效益高与转化效益高 公司自成立以来,不断吸纳海内外优秀人才,形成技术深厚、管理经验丰富的核公司自成立以来,不断吸纳海内外优秀人才,形成技术深厚、管理经验丰富的核心技术团队与管理层,指导公司进行有效的产品开心技术团队与管理层,指导公司进行有效的产品开发与技术研发战略规划。发与技术研发战略规划。在中 敬请参阅最后一页特别声明-50-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)国半导体制程设备国产化率偏低、晶圆厂认可度不高的环境下,我们认为将 ALD技术应用到半导体领域的新兴薄膜沉积设备厂
165、商自初创起需要面临“生存”、“成长”、“初心”三大难题。公司致力于打造成为 ALD 技术平台公司,并推动 ALD设备在半导体领域的国产化,公司创始人团队拥有深厚的半导体 ALD 工艺技术研发与量产导入经验,CTO 及共同创始人 LI WEI MIN 博士曾在芬兰赫尔辛基大学师从 ALD 技术发明人,自 1994 年开始 ALD 技术工作,先后在 ASM 国际、Silecs、Picosun 等国际知名 ALD 设备公司任职,工艺理解深厚,对薄膜材料,工艺和设备技术在半导体、MEMS、传感器、平板显示器、光伏等产业化应用具有丰富的经验。表表 2525:公司核心技术人员:公司核心技术人员(截至(截至
166、 20222022 年底)年底)姓名姓名 公司任职情况公司任职情况 学历背景学历背景 研究经历、科研成果及荣誉研究经历、科研成果及荣誉 主要负责的研发工作、对公司的具体贡献主要负责的研发工作、对公司的具体贡献 LI WEI MIN 任公司首席技术官并历任公司 董事、副董 事长。芬兰赫尔辛基大学无机化学专业博士研究生 拥有 25 年以上原子层沉积(ALD)技术的研发和产业化经验,掌握国际领先的原子层沉积技术,是最早开始研究 ALD 技术的华人之一,在国际 ALD 技术领域享有较高声誉;在国际主流杂志及专业会议发表论文 50 多篇,承担国内外政府科技项目共 8项,长期致力于 ALD 技术的国际合作
167、和在中国的推广;获 2021年国家级人才、2020 年江苏省“双创团队”带头人、2019 年无锡市太湖创新领军型团队带头人、2016 年江苏省“双创人才”荣誉,是江苏省产业教授、SEMI 光伏标委会核心委员、第三代半导体人才发展委员会委员。负责产品和技术研发战略规划与方向决策、研发体系搭建、先进设备产品的开发和产业化;主导公司核心项目研发,形成了多个拥有自主知识产权的原子层沉积设备及镀膜技术;指导实现了公司 ALD 技术在光伏领域的产业化,并推广至半导体等其他领域,打破国外垄断;在公司业务、技术领域的拓展、核心技术问题突破以及客户产线验证等方面发挥了领导作用;为发行人 47 项授权专利(其中发
168、明专利 8 项)的发明人,37 项在申请发明专利的发明人。LI XIANG 任 发 行 人 副 总 经理,兼任产业化应用 中心、新材料等事业部 CEO,历任发行人应用 总监、研发部副总 经理、联席首席技术官 新加坡南洋理工大学电气与电子工程专业博士研究生 半导体器件及制造工艺技术专家,曾从事新型半导体器件制造工艺和整合的研发工作,积累了丰富的原子层沉积 ALD 工艺技术研发和量产导入经验,对于 ALD 工艺在微纳器件上的应用有着深刻的理解;在国内外核心期刊发表论文 35 篇;获 2020 年江苏省“双创团队”核心成员、2019 年无锡市太湖创新领军型团队核心成员、2018 年江苏省“双创人才”
169、、2018 年无锡市太湖创新领军人才、2016 年江苏省“双创博士”。负责开发 ALD 技术的前沿工艺和在多个重点工业领域的产业化应用;主持公司江苏省原子层沉积技术工程技术研究中心和江苏省研究生工作站,作为项目研发负责人主持并参加多个省级研发项目;指导实现公司在光伏、集成电路、新型存储器等多个领域产业化项目中形成重大技术突破;承担研发团队管理工作,逐步为公司培育出一个以 ALD 工艺、应用和产业化为特色的研发团队;为发行人 34 项授权专利(其中发明专利 7 项)的发明人,30 项在申请发明专利的发明人。许所昌 任发行人半导体事业部工艺副 总监,历 任 公 司 研 发 主管、研发经理 中国科学
170、院大连化学物理研究所物理化学专业博士研究生 多年半导体行业薄膜工艺研发经历,致力于先进半导体工艺和技术开发;在 28nm 及以下先进制程中原子层沉积技术应用方面积累了大量经验,参与政府科技项目共 4 项;获 2021 年江苏省“双创人才”、2021 年无锡市“太湖人才计划”创新领军人才、2020 年江苏省“双创团队”核心成员、2019 年江苏省“双创博士”。负责公司半导体事业部工艺部门组建及半导体相关原子层沉积工艺技术攻关和产业化;主导公司首台用于逻辑芯片 28nm HfO2 栅氧原子层沉积工艺开发并通过客户产线验收,打破国外技术垄断,推动先进薄膜沉积技术的发展;为发行人 17 项授权专利(其
171、中发明专利 1 项)的发明人,11 项在申请发明专利的发明人。吴兴华 任公司光伏事业部副总经理 中山大学物理专业硕士研究生 拥有 15 年以上高效率太阳能电池设备与高效电池技术研发经验,曾任中国台湾工业技术研究院高级工程师,长期致力于高效率电池技术开发与产业化研究,在 N 型高效电池制造领域积累了丰富的经验;发表论文 6 篇;荣获工研院杰出金牌研究奖。负责光伏事业部的业务与产品战略发展规划,推动研发团队进行新型高效电池设备开发与产业化验证;指导打破技术壁垒,形成新型高效电池整体薄膜沉积方案并促成下游企业进行技术升级,带动公司产品和技术发展,提高核心竞争优势;为发行人 9 项授权专利的发明人,5
172、项在申请发明专利的发明人。资料来源:公司招股说明书,公司 2022 年年报,光大证券研究所 公司持续加大研发投入,持续补充研发人才保持竞争活力。公司持续加大研发投入,持续补充研发人才保持竞争活力。2022 年,公司研发费用占营业收入比重为 20%,远高于行业可比公司平均水平,北方华创/中微公司/拓荆科技/捷佳伟创分别为 13%/16%/22%/5%。2019-2021 年公司研发人员数量占总体员工人数比重维持在 30%以上,与半导体核心工艺环节刻蚀、薄膜沉积等其他龙头公司不相上下。2022 年可比公司北方华创/中微公司/拓荆科技/捷佳伟创研发人员比重分别为 29%/43%/40%/20%。20
173、22 年公司研发人员数量占比有所下滑至 23%,主要是光伏市场开拓迅猛,设备售后支持人员数量增加,公司员工总体规模迅速扩大。敬请参阅最后一页特别声明-51-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图图 6969:可比公司研发费用占营业收入比重:可比公司研发费用占营业收入比重(%)图图 7070:可比公司研发人员数量占比:可比公司研发人员数量占比(%)资料来源:wind,光大证券研究所 资料来源:wind,光大证券研究所 公司研发投入在产品与工艺的突破升级表现显著,展示公司强大的研发效益转换公司研发投入在产品与工艺的突破升级表现显著,展示公司强大的研发效益转换能力
174、能力。公司目前已形成包括原子层沉积反应器设计、高产能真空镀膜、真空镀膜设备工艺反应气体控制、纳米叠层薄膜沉积、高质量薄膜制造、工艺设备能量控制、基于原子层沉积的高效电池技术七大自主研发的核心技术,有效解决 ALD的多领域适用性、生产效率与生产成本问题。利用这七大核心技术设计的产品销售收入占营业收入比重自 2019 年以来始终维持在 90%以上,2022 年上半年为97.79%。表表 2626:公司七大核心技术:公司七大核心技术 技术名称技术名称 技术特征技术特征 专利情况专利情况 光伏领域应用光伏领域应用情况情况 半导体领域应半导体领域应用情况用情况 原子层沉积反应器原子层沉积反应器设计技术设
175、计技术 包含时间及空间型 ALD;满足了大小尺寸晶硅太阳能电池片、2 至 18 英寸硅基半导体及化合物半导体晶圆,以及微纳米级粉末、纳米线、2D 材料等各类型基底的 ALD 反应需求;具备向原子层刻蚀设备、化学气相沉积设备和其他电子工业设备等产品的延伸性;解决了针对不同基底所需 ALD 沉积工艺进行的真空环境问题;可在一台设备上实现多种 ALD 工艺组合;通过内外双腔独立结构,隔离了反应气体和反应环境控制系统,增强了反应可控性,同时延长了单次维护所用时间,降低了生产成本;解决了双腔体工艺气体分布与基片传输逻辑的问题,可以有效提高生产效率 授权发明专利 4 项 已产业化应用 已产业化应用 高产能
176、真空镀膜技高产能真空镀膜技术术 独特的气体输送系统、反应腔体、匀流系统、基底装载及加热系统以及工艺条件控制等设计相结合+解决了反应环境控制、在线工艺监测、机械运动保护、颗粒度控制、薄膜均匀性等关键技术难题,拥有出色量产性能、安全性与稳定性;通过对于基片承载装置及其运动逻辑进行自主设计,大大提高了工作效率,满足大批量工业化生产型 ALD 设备的要求;通过延长清理周期的新型气体分配装置、反应源处理装置、碎片自动清理装置等设计,提高了设备维护周期 授权发明专利 3 项 已产业化应用 已产业化应用 真空镀膜设备工艺真空镀膜设备工艺反应气体控制技术反应气体控制技术 通过对由喷淋板、脉冲阀及真空腔室的配合
177、设计,保证了进入喷淋板的反应气体的进气管和气体分布管是完全独立的,实现了不同反应气体在进入反应腔前相互隔离,有效避免了 CVD反应的产生,缩短了残余气体反应物及气体反应产物的清洗时间,提高了沉积速度;喷淋板使气流进入反应腔分布均匀,确保了反应气体在反应腔的任何部位的气体浓度相同,解决了影响薄膜沉积厚度的气体浓度差异问题;授权发明专利 2 项 已产业化应用 已产业化应用 敬请参阅最后一页特别声明-52-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)针对大批量装载基底进行均匀镀膜是薄膜沉积技术的难点,通过大量仿真计算以及试验验证,形成了独特的喷淋板-匀流板配套设计技术,在
178、气体通道中设置匀流结构,解决了真空状态反应气体在高阻力条件下的气体分布均匀性的问题,解决了大批量基底装载中普遍存在的反应气体分布导致的工艺均匀性不佳的问题 纳米叠层薄膜沉积纳米叠层薄膜沉积技术技术 在时间型 ALD 制程中,化学反应以脉冲方式分阶段进行,并交替生长出成分相异的薄膜材料,在纳米量子阱、纳米叠层材料和多元材料制备过程中,控制精度达到亚纳米级别;在空间型 ALD 制程中,通过对隔离装置的设计,使得反应气体以及催化气体的混合反应在空间上实现局域化,基底在多个周期不同薄膜局域化独立反应空间中相对运动,沉积对应的叠层薄膜 授权发明专利 5 项 已产业化应用 已产业化应用 高质量薄膜制造技高
179、质量薄膜制造技术术 利用等离子体增强技术,能在不影响薄膜其他的性能的基础上,有效降低薄膜沉积反应所需温度,并能有效拓宽沉积工艺中化学源的选择性,同时有效改善薄膜均匀性。其中工艺气体分布、脉冲切换设计、反应腔内温度与压力、载具设计,以及电极设计等构成工艺中的关键技术要素;过对流化床技术进行结构改进,在总容纳空间不变的前提下,增加了流化反应发生的表面积;通过控制内腔体粉末温度一致性,显著改善量产时的粉末表面高质量镀膜效果。授权发明专利 1 项 已产业化应用 已产业化应用 工艺设备能量控制工艺设备能量控制技术技术 采用等离子体作为能量控制手段,可以有效降低反应温度,实现多种材料的低温沉积工艺。通过针
180、对等离子体生成、传送、控制时序、屏蔽等设计,可以有效使得工艺过程中的等离子体达到稳定状态,实现薄膜沉积的精准控制,并避免打火造成的硬件失效或产品损伤;合理使用催化剂,有效降低反应活化能,实现在聚合物等非耐温材料的高质量薄膜制备工艺;通过对流道以及相关器件的设计,可以实现大流量流体的高精度的温度控制,从而保持稳定的工艺环境;通过对施加在高效太阳能电池片上的温度、电流、电压等能量的精准控制,可修复高效电池内的界面和体寿命缺陷,从而提高电池稳定性 授权发明专利 1 项 已产业化应用 已产业化应用 基于原子层沉积的基于原子层沉积的高效电池技术高效电池技术 ALD 由于其对基底覆盖率好,容易造成对基底的
181、“绕镀”,薄膜容易在基底的所有部位生长,该技术解决了一直以来影响 ALD 技术在多个工业化领域应用的绕镀问题,有效实现了晶硅太阳能电池片批量化的单面与双面镀膜的关键性技术突破,实现了 ALD 制程对部分基底的选择性沉积 授权发明专利 7 项 已产业化应用-资料来源:公司招股说明书,光大证券研究所 在研项目多元,覆盖半导体与泛半导体、新能源领域,为公司持续增长不断造血在研项目多元,覆盖半导体与泛半导体、新能源领域,为公司持续增长不断造血。公司目前主要实施的研发项目有 13 个左右,涉及光伏电池、新能源电池、半导体、化合物半导体、柔性电子等领域。除介质材料沉积外,覆盖尖端存储器制造关键低温工艺及装
182、备,在线超纯水加热设备、晶圆探测针台等半导体制造辅助装备,晶圆传输平台等,打造一个应用广、辅助配套齐备的 ALD 技术平台公司,持续巩固护城河。表表 2727:公司正在:公司正在实施的主要研发项目实施的主要研发项目 序号序号 项目名称项目名称 预计总投资预计总投资 规模规模/万元万元 本期投入本期投入 金额金额/万元万元 累计投入累计投入 金额金额/万元万元 进展或阶段性进展或阶段性成果成果 拟达到目标拟达到目标 技术水平技术水平 具体应用前景具体应用前景 1 半导体制造 ALD设备平台 6,359.00 2,412.28 7,715.26 产业化应用,并持续开发中 开发具有国际水平的半导体制
183、造ALD设备产品及配套工艺平台 国际同类先进水平 半导体等领域 2 RD 13 5,000.00 2,871.54 3,455.17 产业化验证,并持续开发中 研发工艺用高生产率配置真空镀膜系统,采用新型循环掺杂比例的技术,将多元化合物的组成比控制在个别应用领域元件所需的组合比,提供了解决目前存储器器件制造方案,满足复杂 3D 超高深宽比结构中对薄膜覆盖度、保型性的工艺要求;结合等离子体系统技术,满足多种介质层沉积工艺需求 目标达到国际先进水平 半导体等领域 3 超大集成电路尖端制造设备/批量型集成电路 ALD系统研发 2,000.00 4.01 4.01 开发实现阶段 开发具有成膜速度快,占
184、地面积小,产能高、使用成本低的批量型 ALD 系统和工艺以及设备自动化需求的软硬件控制系统,满足集成电路及显示产业应用需求的,可一次处理 25 片 12英寸晶圆,适用于薄膜质量高,成膜镀率低,厚度要求高,以及产能要求高的关键工艺及应用 目标达到国际先进 半导体等领域 4 RD 15 800 545.67 729.78 产业化应用,并持续开发中 开发用于先进芯片制造高介电常数(High-k)材料的原子层沉积(ALD)设备及工艺 国际同类先进水平 半导体等领域 5 基于 300mm 晶圆半导体制造高产能自动化真空1,200.00 530.03 652.79 产业化应用,并持续开发中 开发生产ALD
185、和其它10nm以下的工艺腔体必备的低微尘、高产能的晶圆传输平台的原子层沉积团簇平台 国际同类先进水平 半导体等领域 敬请参阅最后一页特别声明-53-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)传输技术的研究与产业化 6 新一代化合物半导体mini-LED显示技术关键工艺技术研发及产业化 300 524.52 533.84 产业化验证,并持续开发中 本项目研发的针对新一代化合物半导体 MiniLED 显示技术的设备可用于各类高、低温薄膜工艺应用,特别是氮 化 硅 工 艺,能 够 全 面 满 足300mm/200mm 晶圆的薄膜沉积工艺需求,为先进逻辑芯片、存储芯片、先
186、进封装等提供介质层、图案化等关键工艺解决方案 目标达到国际先进水平 新型显示领域 7 先进化合物半导体及微机电关键工艺及产业化应用 500 749.32 852.21 产业化验证,并持续开发中 开发 6/8 寸单片 ALD 系统,用于特殊半导体器件、MEMS、光电器件及化合物半导体器件等行业应用 目标达到国际先进水平 化合物半导体和微机电领域 8 高阻隔膜产业化技术研发 800 740.56 957.78 产业化应用,并持续开发中 开发幅宽大、阻隔等级超高的量产型卷对卷空间原子层设备及配套自动化装备 国际同类先进水平 柔性电子材料 9 TOPCon 整线技术的开发 4,515.00 1,690
187、.07 3,842.83 产业化应用,并持续开发中 开发出可量产的批量型等离子增强型设备兼容 ALD(PEALD)和 PECVD薄膜沉积技术及其配套产品,同时完成 TOPCon 电池正面钝化层及减反射层、背面隧穿层及多晶硅层的制作 国际同类先进水平 光伏领域 10 大 尺 寸 硅 片PEALD/PECVD设备 3,800.00 1,064.47 3,775.75 产业化应用,并持续开发中 开发基于等离子增强型的 ALD 设备(PEALD),以及配套设备,使其能够满足相关工艺加工需求 国际同类先进水平 光伏领域 11 高效太阳能晶硅电池接触钝化技术的研究与产业化 600 2,354.88 2,6
188、72.41 产业化应用,并持续开发中 开发应用于新型高效电池技术生产工序中的正背膜钝化设备,确保光电转换效率的进一步提升,并进一步提升了高效电池的产能 国际同类先进水平 光伏领域 12 叠层电池技术研发 1,000.00 76.51 375.78 产业化验证,并持续开发中 开发一种等离子体镀膜用电极结构,保证镀膜均匀性;开发一种沉积多种材料类型的镀膜技术,保证硅异质结电池(叠层电池)技术灵活性,为更高效电池效率的取得提供可能性 目标达到国际先进水平 光伏领域 13 应用于新能源电池的 ALD 镀膜设备的研发及产业化 1,532.00 275.68 759.77 开发实现阶段 开发出批量式粉末
189、ALD 沉积设备、新能源及催化材料改性柔性材料 ALD沉积设备,在精确控制镀膜厚度的同时,提升包覆率、均匀性,提高材料性能,降低原材料耗用量以及提升产能,生产成本 目标达到国际先进水平 新能源 资料来源:公司 2022 年年报,光大证券研究所 表表 2828:公司产学研合作情况:公司产学研合作情况 序号序号 项目名称项目名称 合作方合作方 合作有效期合作有效期 合作协合作协议主要内容议主要内容 专利、产品等权利义务划分约定专利、产品等权利义务划分约定 1 战略合作协议 上海交通大学太阳能研究所 2021 年 1 月 19 日至2026 年 1 月 18 日 1、联合开发高效太阳电池技术,包括并
190、不限于基于晶硅电池的叠层电池技术,并配置适当资源共同建设试验线;联合项目中与设备及设备相关材料和工艺的知识产权及其申请权归微导纳米所有,电池技术相关的知识产权及其申请权归双方共同所有;对双方合作开发完成的发明创造,申请专利的权利由双方共同享有 2、合作培养高级科技复合型光伏技术装备及管理实用人才 2 产学研合作框架 南京大学现代工程与应用科学学院 2021 年 2 月 5 日至2024 年 2 月 4 日 1、联合开发应用于先进集成电路制造的各类 ALD 相关工艺,以及先进器件结构相关基础研究、应用及产业化;2、双方联合培养高级科技复合型集成电路 ALD 装备硕士以上研究生人才 联合项目中与设
191、备及设备相关材料和工艺的知识产权及其申请权归公司所有,其中设备相关指公司所有的设备本身或由公司独立利用其设备开发的相关材料和工艺等,除此之外,申请专利的权利由双方共同享有 3 产学研合作意向 芬兰赫尔辛基市赫尔辛基大学化学系 后续具体合同协议中另行约定 ALD 燃料电池技术的新型化学和工艺的研发和产业化-资料来源:公司招股说明书,光大证券研究所 微导纳米是国内首家推出 28nm 制程逻辑芯片栅极介质层 HfO2薄膜沉积量产型ALD 设备的公司,并取得重复订单,产品具备核心技术能力与护城河,未来下 敬请参阅最后一页特别声明-54-证券研究报告 微导纳米(微导纳米(688147.SH688147.
192、SH)游晶圆厂扩产对公司 ALD 设备具有一定需求。此外,目前国内目前国内 ALDALD 布局者虽众布局者虽众多,但公司覆盖工艺类型较为齐全,工艺验证较多,具备一定的领先性。多,但公司覆盖工艺类型较为齐全,工艺验证较多,具备一定的领先性。表表 2929:国内国内布局布局 ALDALD 设备的厂商众多,但多设备的厂商众多,但多处于起步阶段处于起步阶段 公司公司 产品产品 单片单片 立式立式 ALDALD 产品产品 应用领域应用领域 产业化进展产业化进展 收入收入 拓荆拓荆 PE-ALD SADP工艺、STI表面薄膜 产业化应用 2021 年 ALD 收入 2862 万元 2022 年 ALD 收
193、入 3258.67万元 应用于128层以上3D NAND FLASH存储芯片、19/17nm DRAM存储芯片晶圆制造,可以沉积SiO2和SiN介质材料薄膜 产业化验证 T-ALD 应用于逻辑芯片 28nm 以下制程,沉积 Al2O3、AlN 等多种金属化合物薄膜材料 产业化验证 微导微导 PE-ALD 第三代化合物半导体钝化层和过渡层 产业化验证 T-ALD 逻辑芯片的 High-K 栅氧层薄膜沉积 产业化应用 2021 年收入 2520 万元 2022 年确认一台收入 存储芯片的高 K 栅电容介质层(单元和多元掺杂介质层)和覆盖层、半导体量子器件超导材料导电层、第三代化合物半导体钝化层和过
194、渡层 产业化验证 北方华创北方华创 PE-ALD 用于沉积 SiO2、SiNx、TiN、AlN 等多种膜层/T-ALD HKMG 工艺 产业化应用 盛美盛美 T-ALD 沉积氮化硅(SiN)和碳氮化硅(SiCN)薄膜;出厂的首台 Ultra Fn A 设备将用于 28nm 逻辑制造流程,以制造侧壁间隔层 产业化验证 2022 年推向两家关键客户,中微中微 存储钨 ALD 设备;高端存储和逻辑器件的 ALD 氮化钛设备 实验室测试 青岛思锐智能青岛思锐智能 T-ALD PEALD 栅极电介质(包括高纵横比沟槽)到 Brac 镀膜、终极钝化或封装等 产业化应用 ASMASM T-ALD HKMG
195、工艺;金属氧化物;金属氮化物 量产 2020 年 ALD 全球市场份额30%;2022 年 ALD 占收入比重为58%,约 14 亿欧元。PEALD 图案层;栅极侧墙和衬底沟槽填充 量产 LAMLAM 3D NAND 和 DRAM 的低氟、低应力钨填充;钨塞、触点和通孔填充;3D NAND 字线;低应力复合互连;多重图案化;刻蚀停止层等 量产 2022 财年总收入 172 亿美元 TELTEL 批量式 量产 2020 年 ALD 全球市场份额为 18%;2022 财年总收入为 164 亿美元 KEKE 批量式 量产 2022 财年总收入大于 17 亿美元 资料来源:各公司官网,各公司年报,各公
196、司官方微信公众号,微导纳米公司公告,光大证券研究所整理 注:思锐智能成立于 2018 年并于同年 9 月收购了芬兰的 BENEQ 公司;市场份额指的是市场销售收入,数据来源为 yole 3.23.2、光伏光伏订单放量,基本盘稳固为半导体研发订单放量,基本盘稳固为半导体研发赋能赋能 公司公司成立初期,国内晶圆厂产线半导体设备进口主导,优秀国产设备公司尚未崛成立初期,国内晶圆厂产线半导体设备进口主导,优秀国产设备公司尚未崛起,光伏新能源需求增加,电池技术进入加速迭代阶段起,光伏新能源需求增加,电池技术进入加速迭代阶段。半导体设备研发验证周期长,导致研发投入回报周期长,为适应市场环境,公司 ALD
197、设备率先用于光伏电池片,迅速打开光伏市场,为公司积攒充足现金流。2022 年公司光伏设备收入 5.01 亿元,2022 年末光伏设备在手订单 19.67 亿元,2023 年初至 2023年 4 月 25 日,公司新签光伏设备 20.16 亿元,与 2022 年末在手订单相当,可可见见光伏放量加速光伏放量加速。2023 年 TOPCon 扩产节奏加快,我们预计验收确认节奏相对会加速,为公司提供坚实的基本盘,赋能半导体事业发展。敬请参阅最后一页特别声明-55-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)图图 7171:微导纳米专用设备收入:微导纳米专用设备收入总额及总额
198、及结构结构(亿元)(亿元)图图 7272:微导纳米订单:微导纳米订单金额及金额及结构结构(亿元)(亿元)资料来源:Wind,光大证券研究所 资料来源:公司公告,光大证券研究所 同时公司同时公司半导体半导体 ALDALD 国产化替代方向国产化替代方向,加大半导体,加大半导体 ALDALD 设备研发投入,加快试设备研发投入,加快试验应用,在稳固营收基本盘中逐步实现半导体验应用,在稳固营收基本盘中逐步实现半导体 ALDALD 设备的国产化替代,加速成设备的国产化替代,加速成长。长。目前公司已在逻辑、存储、化合物半导体、新型显示等细分应用领域取得国内多家知名半导体公司的商业订单,客户端产线认证工作正在
199、积极推进中,并取得部分工艺端重复订单。2022 年公司半导体设备销售收入 0.47 亿元,2022 年年末半导体设备在手订单 2.57 亿元,而 2023 年以来,截至 4 月 25 日,半导体设备新签订单已达 2.42 亿元。表表 3030:微导纳米半导体工艺覆盖与产业化情况:微导纳米半导体工艺覆盖与产业化情况 设备类型设备类型 镀膜工艺镀膜工艺 应用领域应用领域 产业化阶段产业化阶段 TALD HfO2工艺 逻辑芯片高 k 栅介质层 产业化应用 HfO2工艺 存储芯片高 k 栅电容介质层(单元和多元掺杂介质层)产业化验证 ZrO2工艺 La2O3工艺 TiO2工艺 存储芯片高 k 栅覆盖层
200、 产业化验证 TALD TiN 工艺 半导体量子器件超导材料导电层 产业化验证 Al2O3和 AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 PEALD Al2O3和 AlN 工艺 第三代化合物半导体钝化层和过渡层 产业化验证 TALD Al2O3和 TiO2工艺 硅基微型显示芯片阻水阻氧保护层 产业化验证 CVD 氧化物、氮化物等 存储芯片钝化层、扩散阻挡层、介电层、硬掩膜层、高级图案化层、电容覆盖层等 开发实现 真空传输系统 -半导体设备晶圆传输平台系统 产业化应用 资料来源:微导纳米招股说明书,公司 202 年年报,公司投资者调研纪要,光大证券研究所 3.33.3、ALDAL
201、D 技术技术具平台延展优势,布局具平台延展优势,布局 CVDCVD 打开成长空打开成长空间间 公司核心技术公司核心技术 ALDALD 工艺具备优异到均匀性与一致性,应用潜力广泛。工艺具备优异到均匀性与一致性,应用潜力广泛。ALD 技术作为一种具有普适意义的真空镀膜技术,由于其超薄的膜厚、极高的均匀度及优异的三维共形性,使其在更微观的纳米级别可产生诸多特殊性质,在柔性电子等新型显示、MEMS、催化及光学器件等诸多高精尖领域均拥有良好的产业化前景,为公司后续发展提供广阔空间。敬请参阅最后一页特别声明-56-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)以以 ALDALD
202、技术为核心,差异化布局技术为核心,差异化布局 CVDCVD 等多种真空镀膜梯次产品。等多种真空镀膜梯次产品。公司凭借现有薄膜沉积类产品研发与产业化经验,差异化开发多种 CVD 真空镀膜产品。微导纳米以差异化策略布局部分 CVD 工艺段,有利于建立 CVD 领域市场地位。据微导纳米 2022 年年报援引 SEMI 预测数据,CVD 约占镀膜板块的 57%市场份额,远高于 ALD 11%左右的市场份额,CVD 设备的布局将为公司打开成长空间。表表 3131:各家:各家 PECVDPECVD、LPCVDLPCVD 薄膜工艺对比薄膜工艺对比 公司与主要薄膜设备公司与主要薄膜设备 应用工艺应用工艺 微导
203、纳米 PECVD 高温硬掩模等,与拓荆科技差异化竞争 拓荆科技 PECVD SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介质薄膜材 料,以及 LoK、LoK、ACHM、ADC、HTN、a-Si 等先进介质薄膜材料。多种不同工艺指标的先进薄膜材料(包括 LoK、ACHM、ADC、HTN 等)和设备均通过客户验证,进入量产产线。PECVD【NF-300H(六站式)】在 DRAM 存储芯片制造领域实现首台产业化应用,并取得客户复购订单,可沉积 Thick TEOS 介质材料薄膜。该设备每次可以同时最多处理 18 片晶圆。北方华创 LPCVD 二氧化硅(LTO、TE
204、OS)、氮化硅(Si3N4(含低应力)、多晶硅(LP-POLY)、磷硅玻璃(BSG)、硼磷硅玻璃(BPSG)、掺杂多晶硅、石墨烯、碳纳米管等多种薄膜;28nm 及以上的集成电路、先进封装、功率器件;氮化硅薄膜淀积,二氧化硅薄膜淀积,多晶硅薄膜淀积,非晶硅薄膜淀积 资料来源:拓荆科技 2022 年年报,北方华创官网,微导纳米 2022 年年报,光大证券研究所 表表 3232:拓荆科技产品布局:拓荆科技产品布局 设备类型设备类型 结构结构 逻辑逻辑 3D 3D NandNand DRAMDRAM 近况近况 PECVD【PF-300T(双站式)】双站式 订单量稳定增长,市场占有率不断提升,已获得现有
205、及新客户的验收;28nm 以下在验证 PECVD【NF-300H(六站式)】六站式 在 DRAM 存储芯片制造领域实现首台产业化应用,并取得客户复购订单,可沉积Thick TEOS 介质材料薄膜。该设备每次可以同时最多处理 18 片晶圆 PE-ALD 系列:PF-300T(双站式)双站式 在 40/28nm 及以下 SADP、STI Liner,55-40nm BSI 工艺的晶圆制造以及封装领域已实现产业化应用;在 DRAM 存储芯片制造领域进行产业化验证 PE-ALD 系列:NF-300H(六站式)六站式 在 128 层 3D NAND FLASH 芯片制造领域进行产业化验证且进展顺利,可以
206、沉积高温、低温、高质量等 SiO2介质材料薄膜 Thermal-ALD【PF-300T(双站式)】双站式 设备已完成研发,并出货至不同客户端进行验证,可以沉积 Al2O3、AlN 等多种金属化合物薄膜材料 Thermal-ALD【TS-300(多边形高产能平台)】六边形 设备已完成研发,并出货至不同客户端进行验证,可以沉积 Al2O3、AlN 等多种金属化合物薄膜材料 SACVD 系列:PF-300T(双站式)双站式 可实现 SA TEOS、BPSG、SAF 薄膜工艺沉积的 SACVD 设备均通过客户验证 TS-300(多边形高产能平台)六边形 设计六边形传输平台的设计,实现同时搭载最多五个反
207、应腔(10 个反应站),提高薄膜沉积设备的产能;可以进行多种工艺的集成组合,实现在真空环境下进行连续多步骤沉积处理;高产能平台可以搭载 PECVD 反应腔、ALD 反应腔及 HDPCVD 反应腔,已取得现有及新客户订单 HDPCVD【PF-300T(单站式)】单站式 已出货至客户端进行产业化验证,2023 年至今已通过产线验证,实现销售 HDPCVD【TS-300(多边形高产能平台)】六边形 已取得客户订单 UV Cure 可以与 PECVD 设备成套使用,为 PECVD Lok II、HTN 等薄膜沉积进行紫外线固化处理,已通过不同客户产线验证,实现销售收入,并实现产业化应用 混合键合系列
208、Dione 300 出货至客户端进行验证 混合键合系列 Pollux 出货至客户端进行验证 资料来源:拓荆科技招股说明书,拓荆科技公告,光大证券研究所 敬请参阅最后一页特别声明-57-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)4 4、盈利预测与投资建议盈利预测与投资建议 4.14.1、关键假设及盈利预测关键假设及盈利预测 (1)(1)关键假设关键假设 半导体设备半导体设备 公司半导体设备以公司半导体设备以 ALDALD 技术为核心,拓展技术为核心,拓展 CVDCVD 等其他梯次薄膜沉积设备。等其他梯次薄膜沉积设备。(1 1)公司现已开发出多款)公司现已开发出多款
209、ALDALD 设备,客户端验证加速。设备,客户端验证加速。iTomic 系列 ALD 镀膜系统已在 28nm 逻辑芯片 High-k 栅氧层上取得重复订单、可一次处理 25 片 12英寸晶圆的 iTomic MW 系列批量式 ALD 镀膜系统处于产业化验证中、可按需配置 PEALD 或 TALD 等工艺需求的 iTomic Lite 系列轻型 ALD 镀膜系统已处于产业化验证中;iTomic PE 系列等离子体增强 ALD 镀膜系统可为逻辑芯片、存储芯片、先进封装等提供定制化掩膜层、介质层、图案化等关键工艺解决方案,目前亦处于产业化验证中;(2 2)iTronix iTronix 系列系列 C
210、VD CVD 系统则是应客户需求开发,可应用于多种镀膜领域系统则是应客户需求开发,可应用于多种镀膜领域。适用于制备氧化硅、氮化硅、氮氧化硅、非晶碳、非晶硅、掺杂非晶硅、锗硅等不同种类薄膜,可应用于逻辑、存储、先进封装、显示器件以及化合物半导体等领域芯片制造,我们预计 CVD 系列设备 2023 年可实现交付至客户量产线端进行验证。设备企业市场空间有两大影响因素,一是晶圆厂资本开支,二是国产设备企业市场空间有两大影响因素,一是晶圆厂资本开支,二是国产化率。化率。虽然SEMI 最新报告指出,受芯片需求疲软以及消费者和行动装置库存增加影响,下调 2023 年全球前端晶圆厂设备支出总额,预计将从 20
211、22 年创纪录的 980 亿美元下滑 22%至 760 亿美元;2024 年增长 21%至 920 亿美元。但鉴于目前中美科技竞争,海外高端半导体设备供应链受破坏背景,国内晶圆厂导入国产设备验证意愿相较此前增强,半导体设备国产化率不断提高,加上国内晶圆厂逆周期投资,我们认为国内半导体行业国产替代将带来可观的设备市场份额。微导纳米作为国内第一家凭借 TALD 率先打入 28nm 栅介质层 HfO2工艺的半导体设备厂,在 ALD 领域市场地位已树立,且该工艺难度高,市场追赶难度大,时间窗口期长,公司有望在下游晶圆厂先进制程扩产中在司有望在下游晶圆厂先进制程扩产中在 ALDALD 应用的工艺环节应用
212、的工艺环节中获得优势订单。中获得优势订单。2022 年公司半导体设备(包括晶圆传输平台)实现营业收入0.47 亿元,2022 年年末公司半导体设备在手订单 2.57 亿元,2023 年 1 月初至2022 年年报发布当日(4 月 25 日)新签半导体设备订单 2.42 亿元,综合上述分析,我们预计公司我们预计公司 2023/2024/20252023/2024/2025 年半导体专用设备实现营业收入年半导体专用设备实现营业收入 2/5/102/5/10亿元,对应同比增速为亿元,对应同比增速为 326%/150%/100%326%/150%/100%。2022 年确认收入的半导体 ALD 设备仅
213、有一台,其余为晶圆传输平台,毛利率较低,为 37.24%,鉴于公司目前新签半导体 ALD 机台逐步增多,未来交付的半导体设备以 ALD 机台为主,产品销售结构发生变化,毛利水平走高,参考可比公司拓荆科技与中微公司 2022 年半导体设备分别为 49.21%、45.74%的毛利率水平,我们预计随着公司半导体 ALD、CVD 设备验证机台与量产型机台交付确收量的逐步增加,盈利能力逐步提升,2023/2024/20252023/2024/2025 年公司半导体专用设备毛年公司半导体专用设备毛利率水平分别为利率水平分别为 48%/49%/50%48%/49%/50%。光伏设备光伏设备 公司在高公司在高
214、效光伏电池片工艺覆盖度与新技术品类覆盖度逐渐效光伏电池片工艺覆盖度与新技术品类覆盖度逐渐提高提高。公司 ALD 设备在 TOPCon 电池具有金字塔绒面的正面 Al2O3钝化层制备中正成为主流技术路线,并基于 PEALD、PECVD 等多种真空镀膜技术,开发面向 XBC、HJT、钙钛矿等新一代高效电池镀膜设备。在当前主流扩产 TOPCon 电池技术路线中,敬请参阅最后一页特别声明-58-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)公司与先导智能合作可供应整线设备,公司可提供到工艺段包括正面 Al2O3钝化层、正面减反层、背面 SiNx 减反层、隧穿与掺杂多晶硅层、扩
215、散、退火等,占整线工艺价值量高。能源转型背景下,光伏新增装机需求增加,降本增效推动行能源转型背景下,光伏新增装机需求增加,降本增效推动行业新技术迭代窗口逐业新技术迭代窗口逐渐缩窄,利好设备厂商。渐缩窄,利好设备厂商。2022 年公司光伏设备实现营业收入 5.01 亿元,同比增长 82%,2022 年末公司光伏设备在手订单 19.67 亿元,2023 年 1 月初至 2022年年报发布当日(4 月 25 日)新签光伏设备订单 20.16 亿元。据公司 2022 年公开业绩交流会,光伏 ALD 设备集中在 2022 年下半年出货,2022 年末、2023年 Q1 末存货分别为 9.75 亿元、14
216、.48 亿元,其中 2022 年末发出商品占存货比重高达 56%,TOPCon 设备验收周期 6-14 个月,我们预计 2023 年将会有大批量已交付设备完成验收确认收入。此外,公司产能水平不断提高,交付能力增强,下游 TOPCon 电池厂客户产能建设迫切。在 TOPCon 扩产热潮中公司积极布局下一代高效电池技术专用设备,如 HJT、钙钛矿等领域,以解决光伏领域未来订单可能出现青黄不接现象。我我们预计们预计 2023/2024/20252023/2024/2025 年公司光伏设备营业收入增速为年公司光伏设备营业收入增速为 130130%/%/60%/3060%/30%,对,对应营业收入为应营
217、业收入为 11.5211.52/18.4318.43/2/23.93.97 7 亿元。亿元。2020/2021/20222020/2021/2022 年公司光伏设备毛利率分别为年公司光伏设备毛利率分别为 50.83%/32.86%/35.9550.83%/32.86%/35.95%,因市场竞争与产品结构而波动较大。当前公司 ALD 设备已以优势地位成功导入TOPCon 正面 Al2O3钝化层,由于光伏电池片市场竞争较为激烈,具备强者恒强特点,我们认为未来公司优势 ALD 产品仍将保持优势地位,其他 PECVD、扩散、退火设备市场会逐步打开,但由于竞争激烈毛利率水平会相对较低。随着 2023年以
218、来公司光伏 ALD 设备收入放量,盈利水平提高,但后期因高效技术成熟,设备降本等原因盈利水平或出现一定回调,我们预计我们预计 2023/2024/20252023/2024/2025 年公司光年公司光伏设备总体毛利率分别为伏设备总体毛利率分别为 36.5%/37%/36.5%36.5%/37%/36.5%。(2 2)费用假设)费用假设 销售费用销售费用:公司未来在半导体、光伏领域有多款产品推出,业务规模不断扩大,订单呈现规模化增长,因此销售及支持人员将会呈现增长态势。2022 年公司销售费用率为 6.63%,2023 年为光伏 TOPCon 扩产大年,相对应的销售及支持人员的补充需求相较前后几
219、年会更大,因此我们预计 2023-2025 年公司销售费用率为 7.2%/6.8%/6.8%。管理费用管理费用:公司未来仍处于产能扩张期,募投项目持续推进,相关管理人员预计会不断增加。且公司 2023 年 3 月推出股权激励计划,限制性股票首次授予数量为 1,425.68 万股,约占公司股本总额 45,445.54 万股的 3.14%。2022 年公司管理费用率为 7.29%,因需要计提部分股权激励费用至管理费用,我们合理预计2023-2025 年公司管理费用率稳定维持在 11%。研发费用研发费用:公司多款 ALD、CVD 产品处于研发、验证阶段,我们预计近几年研发费用绝对值会呈现增长态势。2
220、022 年公司研发费用率为 20.22%,随着公司收入规模的增长,我们预计 2023-2025 年公司研发费用率为 19%/18%/16.5%。表表 3333:微导纳米主营业务拆分及预测(单位:百万元):微导纳米主营业务拆分及预测(单位:百万元)主营业务主营业务 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 半导体设备半导体设备 25.20 46.98 200.00 500.00 1000.00 YOY/86%326%150%100%毛利率 52.20%37.24%48.00%49.00%50.00%敬请参阅最后一页特别声明-59-证券研
221、究报告 微导纳米(微导纳米(688147.SH688147.SH)主营业务主营业务 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 光伏设备光伏设备 275.27 500.94 1152.16 1843.46 2396.50 YOY-8%82%130%60%30%毛利率 32.86%35.95%36.50%37.00%36.50%配套产品及服务配套产品及服务 127.03 118.22 130.04 143.05 157.35 YOY 854%-7%10%10%10%毛利率 72.68%67.71%67.71%67.71%67.71%其他业
222、务其他业务 0.41 18.37 25.72 30.87 35.50 YOY 475%4373%40%20%15%毛利率-21.31%42.31%42.31%42.31%42.31%总收入总收入 427.92427.92 684.51684.51 1,507.931,507.93 2,517.382,517.38 3,589.353,589.35 YOYYOY 0.370.37 60%60%120%120%67%67%43%43%毛利率毛利率 45.77%45.77%42.342.31%1%40.82%40.82%41.19%41.19%41.69%41.69%资料来源:Wind,光大证券研究
223、所预测 综上,我们预测公司 2023-2025 年营业收入分别为 15.08/25.17/35.89 亿元,增速为120%/67%/43%,毛利率 分别为 40.82%/41.19%/41.69%,归母净利润分别为1.24/2.63/4.23 亿元,增速为 129%/112%/61%,对应 EPS 分别为 0.27/0.58/0.93 元。表表 3434:公司盈利预测与估值简表公司盈利预测与估值简表 指标指标 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 营业收入(百万元)428 685 1,508 2,517 3,589 营业收入增长率
224、 36.91%59.96%120.29%66.94%42.58%净利润(百万元)46 54 124 263 423 净利润增长率-19.12%17.43%128.74%112.28%61.01%EPS(元)0.11 0.12 0.27 0.58 0.93 ROE(归属母公司)(摊薄)5.22%2.76%5.94%11.36%15.89%P/E 475 449 196 92 57 P/B 24.8 12.4 11.7 10.5 9.1 资料来源:Wind,光大证券研究所预测,股价时间为 2023-07-03;2021/2022 年末的总股本分别为 409 百万股,454.46百万股 4.24.2
225、、相对估值相对估值 我们采用PE相对估值法对微导纳米进行估值,选取与公司半导体前道制程设备、光伏设备业务相近的公司。(1)北方华创:北方华创:主营半导体装备、真空装备、新能源锂电装备及精密电子元器件。半导体方向可供应刻蚀设备、PVD 设备、CVD 设备、氧化/扩散设备、清洗设备、新型显示、气体质量流量控制器等,相应技术可以应用至光伏领域,产品覆盖面广,核心设备产业化应用较为成熟。公司 PEALD SINx 设备可用于逻辑、存储等领域,已在客户端实现量产并获得批量重复订单,LPCVD 设备已成熟应用,PECVD 已完成工艺验证。北方华创与微导纳米业务相近,部分细分领域行业地位相似。(2)拓荆科技
226、:拓荆科技:公司深耕高端半导体设备,专注薄膜沉积设备研发与产业应用,现已拥有多项具有国际先进水平的核心技术。形成以 PECVD(等离子体增强化学气相沉积)、ALD(原子层沉积)、SACVD(次常压化学气相沉积)及HDPCVD(高密度等离子体增强化学气相沉积)为主的薄膜设备系列产品,在集成电路逻辑芯片、存储芯片制造等领域得到广泛应用。拓荆科技业务与微导纳米相近,部分细分领域行业地位相似。敬请参阅最后一页特别声明-60-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)(3)中微公司:中微公司:公司是国内刻蚀设备龙头,主要为集成电路、LED 外延片、功率器件、MEMS 等半导
227、体产品的制造企业提供刻蚀设备、MOCVD 设备及其他设备。公司 CCP 刻蚀产品不断完善,广泛应用于国内外一线客户集成电路制造,2022 年共生产付运 475 个 CCP 刻蚀反应腔,同比增长 59.4%,在逻辑芯片与存储芯片实现多次批量销售,取得重复订单。ICP 设备已形成完整的单台、双台刻蚀设备布局。同时在现有金属 CVD 和 ALD 设备研发基础上,计划研发更多先进 CVD 和 ALD 设备。中微公司业务与微导纳米相近,部分细分领域行业地位相似。(4)捷佳伟创:捷佳伟创:公司是一家国内领先的从事晶体硅太阳能电池设备研发、生产和销售厂商,主要产品包括湿法设备系列、管式设备系列、板式设备系列
228、、激光设备系列、金属化设备系列、智能制造设备系列、晶体硅电池整线解决方案。公司紧紧围绕“高效化、国际化、智能化、多元化”的发展战略,在光伏 TOPCon、HJT、钙钛矿及钙钛矿叠层等各高效技术路线上及半导体领域加强技术创新和新产品的研发。捷佳伟创在光伏设备领域为微导纳米竞争对手,同时布局半导体设备。表表 3535:微导纳米可比公司估值表:微导纳米可比公司估值表 证券代码证券代码 证券简称证券简称 主营主营 业务业务 总市值总市值 (亿元)(亿元)归母净利润(亿元)归母净利润(亿元)PEPE 20222022 2023E2023E 2024E2024E 2025E2025E 20222022 2
229、023E2023E 2024E2024E 2025E2025E 北方华创 002371.SZ 半导体设备 1,610 23.53 32.85 44.56 57.91 68 49 36 28 拓荆科技 688072.SH 薄膜沉积 531 3.69 5.37 8.06 10.96 144 98 66 49 中微公司 688012.SH 刻蚀 947 11.70 14.29 18.14 22.39 81 66 52 42 捷佳伟创 300724.SZ 光伏设备 389 10.47 15.71 23.38 31.69 37 25 17 12 平均值平均值 8383 6060 4343 3333 微导
230、纳米微导纳米 688147.SH688147.SH ALDALD 设备设备 24243 3 0.540.54 1.1.2424 2.2.6363 4.4.2323 449449 196196 9292 5 57 7 资料来源:Wind,可比公司净利润为 Wind 一致预期,微导纳米为光大证券研究所预测;日期截至 2023/7/3 截至 2023 年 7 月 3 日,根据 wind 一致预期,可比公司北方华创、拓荆科技、中微公司、捷佳伟创 2023-2025 年 PE 均值为 60/43/33x,根据光大证券研究所预测,微导纳米 PE 分别为 196/92/57x。公司是国内半导体与光伏用 AL
231、D 薄膜沉积设备领先厂商,拓展半导体 CVD 等市场空间较大领域,光伏 TOPCon 具备整线工艺能力,目前光伏订单放量加速,ALD 设备在逻辑、存储、化合物、新型显示等多个领域产业化验证加快推进,CVD 部分产品处于与客户试样验证阶段,预期未来 2-3 年公司半导体设备迎来放量期,使得公司相较于成熟的可比公司估值更高。4.34.3、绝对估值绝对估值 1、长期增长率:微导纳米是国内 ALD 薄膜沉积设备领先供应商,当前全球半导体产业处于周期底部,国内半导体逆周期扩产,国产替代率提升,公司 ALD 与CVD 设备在多家主流客户验证,部分有重复批量订单,未来行业具备成长性,故假设长期增长率为 2%
232、;2、值选取:采用申万三级行业分类-电子-半导体设备的行业作为公司无杠杆的近似;3、税率:2022 年 12 月 12 日,江苏省科学技术厅、江苏省财政厅以及国家税务总局江苏省税务局再次将公司认定为高新技术企业,并颁发编号为GR202232009964 的高新技术企业证书,有效期三年。微导纳米 2022 年度适用 15%的企业所得税税率,并在 2022 年度享受研究开发费用加计扣除 100%的所得税优惠。2021、2022 年公司实际税率为-14%、-17%,按照财政部税 敬请参阅最后一页特别声明-61-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)务总局关于进一步完
233、善研发费用税前加计扣除政策的公告(2023 年第 7 号),我们预期公司后续仍在一定时间内可以享受税收优惠政策,结合对公司利润总额、研发费用的预测,我们预计公司 2023-2025E 实际税率为-13%、-7%、-3%。参考可比公司北方华创历史实际税率参考可比公司北方华创历史实际税率5 5,我们假设微导纳米长期稳定税率为,我们假设微导纳米长期稳定税率为 11%11%。采用 FCFF 绝对估值法,得出公司的合理股价为 66.83 元。在长期增长率与WACC 值上下波动 0.5%的敏感度分析区间,公司的绝对估值范围为53.40-88.58 元。表表 3636:绝对估值核心假设表:绝对估值核心假设表
234、 关键性假设关键性假设 数值数值 第二阶段年数 8 长期增长率 2.00%无风险利率 Rf 2.70%(levered)0.85 Rm-Rf 4.33%Ke(levered)6.39%税率 11.00%Kd 3.87%Ve(百万元)3,811.3 Vd(百万元)278.8 目标资本结构 6.82%WACC 6.22%资料来源:光大证券研究所预测 表表 3737:现金流折现及估值表:现金流折现及估值表 现金流折现值(百万元)现金流折现值(百万元)价值百分比价值百分比 第一阶段 50.85 0.17%第二阶段 5,470.14 18.40%第三阶段(终值)24,214.57 81.43%企业价值
235、AEV 29,735.56 100.00%加:非经营性净资产价值 912.57 3.07%减:少数股东权益(市值)0.00 0.00%减:债务价值 278.78-0.94%总股本价值 30,369.35 102.13%股本(百万股)454.46-每股价值(元)66.83-2023PE(隐含)245.18-2023PE(动态)196.33-资料来源:光大证券研究所预测 表表 3838:敏感性分析表:敏感性分析表(元(元)长期增长率长期增长率 WACCWACC 1.00%1.00%1.50%1.50%2.00%2.00%2.50%2.50%3.00%3.00%5.22%5.22%74.13 82.
236、45 93.35 108.27 129.91 5.72%5.72%64.16 70.37 78.25 88.5888.58 102.70 6.22%6.22%56.19 60.95 66.8366.83 74.29 84.07 5 北方华创 2018-2022 年实际税率为 18.05%/15.86%/7.76%/4.73%/10.98%。敬请参阅最后一页特别声明-62-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)6.72%6.72%49.69 53.4053.40 57.91 63.48 70.55 7.22%7.22%44.29 47.25 50.77 55.0
237、4 60.32 资料来源:光大证券研究所预测 表表 3939:各类绝对估值法结果汇总表:各类绝对估值法结果汇总表(元)(元)估值方法估值方法 估值结果估值结果 估估 值值 区区 间间 敏感度分析区间敏感度分析区间 FCFF 67 53 89 贴现率0.5%,长期增长率0.5%FCFE 65 52 86 贴现率0.5%,长期增长率0.5%DDM 67 53 88 贴现率0.5%,长期增长率0.5%APV 66 52 89 贴现率0.5%,长期增长率0.5%AE 67 56 84 贴现率0.5%,长期增长率0.5%EVA 56 46 70 贴现率0.5%,长期增长率0.5%资料来源:光大证券研究所
238、预测 4.44.4、估值结论与投资评级估值结论与投资评级 盈利预测、估值与评级:盈利预测、估值与评级:微导纳米是一家面向全球的半导体、泛半导体高端微纳装备公司,已形成以 ALD 技术为核心、CVD 等多种真空镀膜梯次发展的产品系列。薄膜沉积是晶圆制造主要资本开支方向之一,市场规模大,目前 ALD 与 CVD国产化率均处于较低水平。在欧美等发达国家对中国高端半导体产业链供应链禁运封锁背景下,国内晶圆厂验证国产机台意愿提高,未来设备国产化率提高将带来广阔成长空间。同时,公司在 TOPCon 领域具备整线工艺能力,氧化铝正面钝化层工艺环节中成功导入 ALD 并替代 PECVD,在市场上具备较强竞争力
239、,在TOPCon 扩产阶段中已获得可观订单,且为 XBC 电池钝化层设备主要供应商,并布局、验证 HJT、钙钛矿等新一代高效电池,不断追赶跟进光伏电池新技术迭代,为公司可持续增长注入动能。我们预测微导纳米 2023-2025E 的归母净利润分别为 1.24/2.63/4.23 亿元,对应的 EPS 分别为 0.27/0.58/0.93 亿元,2023 年 7 月 3 日股价对应 PE 分别为196/92/57x。结合微导纳米的绝对估值与相对估值,公司目前半导体 ALD、CVD处于加速验证与量产导入阶段,可享受一定的估值溢价,首次覆盖给予“增持”首次覆盖给予“增持”评级。评级。5 5、风险分析风
240、险分析 新产品验证进度与市场发展不及预期风险新产品验证进度与市场发展不及预期风险 公司的薄膜沉积设备主要应用于半导体晶圆、光伏电池片的生产环节,直接影响半导体器件性能及光伏电池片的光电转换效率,是下游客户产线的关键工艺设备。因此,客户对公司新产品的验证要求较高、验证周期较长,公司用于半导体各细分领域和新型高效电池的新产品存在验证进度不及预期的风险。在半导体领域,我国半导体设备制造产业起步较晚,目前国内产线关键设备的国产化仍处于起步和发展阶段。在光伏领域,新型高效电池扩产计划持续推进,但因技术成熟度、投资成本等限制性因素,规模化量产与技术演变路径存在不确定性。如果半导体国产化替代和国内新型高效电
241、池产能建设不及预期,公司未来销售增长将受到限制。行业周期持续下行风险行业周期持续下行风险 公司的经营状况与下游行业的发展密切相关,半导体领域,如果由于国际政治和经济形势引起的对尖端技术的封锁或者由于下游行业的周期性波动等,导致上述 敬请参阅最后一页特别声明-63-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)行业固定资产投资及对设备需求的下降,也将会影响公司经营业绩;未来如果光伏行业政策变化等因素导致行业景气度下降或者产能严重过剩,进而影响下游企业对公司产品的需求,可能对公司的经营业绩产生不利影响。国际贸易摩擦加剧风险国际贸易摩擦加剧风险 全球产业链和供应链重新调整及
242、贸易摩擦对全球经济发展和世界政经格局造成重大冲击,如果由于上述因素可能出现上述国外供应商受相关政策影响减少或者停止对公司零部件的供应,或者由于国产替代的元器件无法达到境外相关产品的质量和技术标准,进而影响公司产品生产能力、生产进度和交货时间,进而对公司的经营产生不利影响。次新股股价波动风险次新股股价波动风险 公司于 2022 年 12 月 23 日在科创板上市,流通盘相对较小,存在股价波动较大的风险。敬请参阅最后一页特别声明-64-证券研究报告 微导纳米(微导纳米(688147.SH688147.SH)利润利润表(百万元)表(百万元)20212021 20222022 2023E2023E 2
243、024E2024E 2025E2025E 营业收入营业收入 428428 685685 1,5081,508 2,5172,517 3,5893,589 营业成本 232 395 892 1,480 2,093 折旧和摊销 7 10 13 20 26 税金及附加 2 5 10 12 17 销售费用 34 45 109 171 244 管理费用 26 50 166 277 395 研发费用 97 138 287 453 592 财务费用 2-1-9-11-10 投资收益 11 14 15 17 18 营营业利润业利润 4040 4444 102102 240240 407407 利润总额利润总额
244、 4141 4646 105105 243243 410410 所得税-6-8-19-20-13 净利润净利润 4646 5454 124124 263263 423423 少数股东损益 0 0 0 0 0 归属母公司净利润归属母公司净利润 4646 5454 124124 263263 423423 EPS(EPS(元元)0.110.11 0.120.12 0.270.27 0.580.58 0.930.93 现金流量表(百万元)现金流量表(百万元)20212021 20222022 2023E2023E 2024E2024E 2025E2025E 经营活动现金流经营活动现金流 -7676
245、168168 195195 240240 324324 净利润 46 54 124 263 423 折旧摊销 7 10 13 20 26 净营运资金增加 423-123 129 285 380 其他-552 228-70-328-505 投资活动产生现金流投资活动产生现金流 -512512 207207 -131131 -7676 -7474 净资本支出-23-47-85-85-85 长期投资变化 0 0 0 0 0 其他资产变化-489 254-46 9 11 融资活动现金流融资活动现金流 232232 1,2331,233 -265265 -1313 -5353 股本变化 359 45 0
246、 0 0 债务净变化 42 213-290 0 0 无息负债变化-28 1,171 711 816 733 净现金流净现金流 -356356 1,6091,609 -200200 151151 197197 资产负债表(百万元)资产负债表(百万元)20212021 20222022 2023E2023E 2024E2024E 2025E2025E 总资产总资产 1,3571,357 3,8203,820 4,3654,365 5,4095,409 6,4926,492 货币资金 120 1,757 1,557 1,708 1,905 交易性金融资产 247 10 50 53 56 应收账款 8
247、1 234 398 566 646 应收票据 72 112 196 302 431 其他应收款(合计)6 12 14 24 34 存货 403 975 1,204 1,578 2,038 其他流动资产 297 421 503 604 658 流动资产合计流动资产合计 1,2761,276 3,6993,699 4,1464,146 5,1155,115 6,1286,128 其他权益工具 4 4 4 4 4 长期股权投资 0 0 0 0 0 固定资产 36 44 84 107 126 在建工程 0 0 13 28 42 无形资产 8 8 12 16 19 商誉 0 0 0 0 0 其他非流动资
248、产 0 40 57 57 57 非流动非流动资产合计资产合计 8181 120120 218218 293293 363363 总负债总负债 473473 1,8571,857 2,2782,278 3,0943,094 3,8273,827 短期借款 67 292 0 0 0 应付账款 120 502 535 740 837 应付票据 77 252 491 592 733 预收账款 0 0 0 0 0 其他流动负债 3 31 47 58 68 流动负债合计流动负债合计 446446 1,8211,821 2,2262,226 3,0313,031 3,7543,754 长期借款 0 0 0
249、0 0 应付债券 0 0 0 0 0 其他非流动负债 17 36 52 62 73 非流动负债合计非流动负债合计 2727 3636 5252 6262 7373 股东权益股东权益 883883 1,9631,963 2,0872,087 2,3152,315 2,6652,665 股本 409 454 454 454 454 公积金 366 1,351 1,363 1,390 1,432 未分配利润 109 157 269 471 778 归属母公司权益 883 1,963 2,087 2,315 2,665 少数股东权益 0 0 0 0 0 盈利能力(盈利能力(%)20212021 202
250、22022 2023E2023E 2024E2024E 2025E2025E 毛利率 45.8%42.3%40.8%41.2%41.7%EBITDA 率 19.5%19.2%8.4%9.9%11.8%EBIT 率 16.5%16.3%7.6%9.1%11.0%税前净利润率 9.5%6.8%6.9%9.6%11.4%归母净利润率 10.8%7.9%8.2%10.4%11.8%ROA 3.4%1.4%2.8%4.9%6.5%ROE(摊薄)5.2%2.8%5.9%11.4%15.9%经营性 ROIC 11.8%22.2%16.9%21.6%25.8%偿债能力偿债能力 20212021 2022202
251、2 2023E2023E 2024E2024E 2025E2025E 资产负债率 35%49%52%57%59%流动比率 2.86 2.03 1.86 1.69 1.63 速动比率 1.96 1.50 1.32 1.17 1.09 归母权益/有息债务 10.76 6.65 417.33 462.98 532.93 有形资产/有息债务 16.20 12.84 865.33 1072.41 1287.17 资料来源:Wind,光大证券研究所预测 费用率费用率 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 销售费用率 7.85%6.63%7.2
252、0%6.80%6.80%管理费用率 6.02%7.29%11.00%11.00%11.00%财务费用率 0.51%-0.09%-0.59%-0.45%-0.28%研发费用率 22.68%20.22%19.00%18.00%16.50%所得税率-14%-17%-18%-8%-3%每股指标每股指标 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 每股红利 0.00 0.00 0.08 0.16 0.26 每股经营现金流-0.19 0.37 0.43 0.53 0.71 每股净资产 2.16 4.32 4.59 5.09 5.86 每股销售收入
253、1.05 1.51 3.32 5.54 7.90 估值指标估值指标 20212021 20222022 2023E2023E 2024E2024E 2025E2025E PE 475 449 196 92 57 PB 24.8 12.4 11.7 10.5 9.1 EV/EBITDA 280.9 189.5 182.2 93.5 55.4 股息率 0.0%0.0%0.1%0.3%0.5%敬请参阅最后一页特别声明-65-证券研究报告 行业及公司评级体系行业及公司评级体系 评级评级 说明说明 行行 业业 及及 公公 司司 评评 级级 买入 未来 6-12 个月的投资收益率领先市场基准指数 15%以
254、上 增持 未来 6-12 个月的投资收益率领先市场基准指数 5%至 15%;中性 未来 6-12 个月的投资收益率与市场基准指数的变动幅度相差-5%至 5%;减持 未来 6-12 个月的投资收益率落后市场基准指数 5%至 15%;卖出 未来 6-12 个月的投资收益率落后市场基准指数 15%以上;无评级 因无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使无法给出明确的投资评级。基准指数说明:基准指数说明:A 股市场基准为沪深 300 指数;香港市场基准为恒生指数;美国市场基准为纳斯达克综合指数或标普 500 指数。分析、估值方法的局限性说明分析、估值方法的局限
255、性说明 本报告所包含的分析基于各种假设,不同假设可能导致分析结果出现重大不同。本报告采用的各种估值方法及模型均有其局限性,估值结果不保证所涉及证券能够在该价格交易。分析师声明分析师声明 本报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格并注册为证券分析师,以勤勉的职业态度、专业审慎的研究方法,使用合法合规的信息,独立、客观地出具本报告,并对本报告的内容和观点负责。负责准备以及撰写本报告的所有研究人员在此保证,本研究报告中任何关于发行商或证券所发表的观点均如实反映研究人员的个人观点。研究人员获取报酬的评判因素包括研究的质量和准确性、客户反馈、竞争性因素以及光大证券股份有限公司的整体收益
256、。所有研究人员保证他们报酬的任何一部分不曾与,不与,也将不会与本报告中具体的推荐意见或观点有直接或间接的联系。法律主体声明法律主体声明 本报告由光大证券股份有限公司制作,光大证券股份有限公司具有中国证监会许可的证券投资咨询业务资格,负责本报告在中华人民共和国境内(仅为本报告目的,不包括港澳台)的分销。本报告署名分析师所持中国证券业协会授予的证券投资咨询执业资格编号已披露在报告上海品茶。中国光大证券国际有限公司和 Everbright Securities(UK)Company Limited 是光大证券股份有限公司的关联机构。特别声明特别声明 光大证券股份有限公司(以下简称“本公司”)成立于 19
257、96 年,是中国证监会批准的首批三家创新试点证券公司之一,也是世界 500 强企业中国光大集团股份公司的核心金融服务平台之一。根据中国证监会核发的经营证券期货业务许可,本公司的经营范围包括证券投资咨询业务。本公司经营范围:证券经纪;证券投资咨询;与证券交易、证券投资活动有关的财务顾问;证券承销与保荐;证券自营;为期货公司提供中间介绍业务;证券投资基金代销;融资融券业务;中国证监会批准的其他业务。此外,本公司还通过全资或控股子公司开展资产管理、直接投资、期货、基金管理以及香港证券业务。本报告由光大证券股份有限公司研究所(以下简称“光大证券研究所”)编写,以合法获得的我们相信为可靠、准确、完整的信
258、息为基础,但不保证我们所获得的原始信息以及报告所载信息之准确性和完整性。光大证券研究所可能将不时补充、修订或更新有关信息,但不保证及时发布该等更新。本报告中的资料、意见、预测均反映报告初次发布时光大证券研究所的判断,可能需随时进行调整且不予通知。在任何情况下,本报告中的信息或所表述的意见并不构成对任何人的投资建议。客户应自主作出投资决策并自行承担投资风险。本报告中的信息或所表述的意见并未考虑到个别投资者的具体投资目的、财务状况以及特定需求。投资者应当充分考虑自身特定状况,并完整理解和使用本报告内容,不应视本报告为做出投资决策的唯一因素。对依据或者使用本报告所造成的一切后果,本公司及作者均不承担
259、任何法律责任。不同时期,本公司可能会撰写并发布与本报告所载信息、建议及预测不一致的报告。本公司的销售人员、交易人员和其他专业人员可能会向客户提供与本报告中观点不同的口头或书面评论或交易策略。本公司的资产管理子公司、自营部门以及其他投资业务板块可能会独立做出与本报告的意见或建议不相一致的投资决策。本公司提醒投资者注意并理解投资证券及投资产品存在的风险,在做出投资决策前,建议投资者务必向专业人士咨询并谨慎抉择。在法律允许的情况下,本公司及其附属机构可能持有报告中提及的公司所发行证券的头寸并进行交易,也可能为这些公司提供或正在争取提供投资银行、财务顾问或金融产品等相关服务。投资者应当充分考虑本公司及
260、本公司附属机构就报告内容可能存在的利益冲突,勿将本报告作为投资决策的唯一信赖依据。本报告根据中华人民共和国法律在中华人民共和国境内分发,仅向特定客户传送。本报告的版权仅归本公司所有,未经书面许可,任何机构和个人不得以任何形式、任何目的进行翻版、复制、转载、刊登、发表、篡改或引用。如因侵权行为给本公司造成任何直接或间接的损失,本公司保留追究一切法律责任的权利。所有本报告中使用的商标、服务标记及标记均为本公司的商标、服务标记及标记。光大证券股份有限公司版权所有。保留一切权利。光大证券股份有限公司版权所有。保留一切权利。光大证券研究所光大证券研究所 上海上海 北京北京 深圳深圳 静安区南京西路 12
261、66 号 恒隆广场 1 期办公楼 48 层 西城区武定侯街 2 号 泰康国际大厦 7 层 福田区深南大道 6011 号 NEO 绿景纪元大厦 A 座 17 楼 光大证券股份有限公司关联机构光大证券股份有限公司关联机构 香港香港 英国英国 中国光大证券国际有限公司中国光大证券国际有限公司 香港铜锣湾希慎道 33 号利园一期 28 楼 Everbright Securities(UK)Company LimitedEverbright Securities(UK)Company Limited 6th Floor,9 Appold Street,London,United Kingdom,EC2A 2AP