《电子行业深度研究:先进封装价值量提升叠加需求回暖封测产业链机遇将至-230818(39页).pdf》由会员分享,可在线阅读,更多相关《电子行业深度研究:先进封装价值量提升叠加需求回暖封测产业链机遇将至-230818(39页).pdf(39页珍藏版)》请在三个皮匠报告上搜索。
1、敬请参阅最后一页特别声明 1 摩尔定律发展放缓,先进制程工艺逐渐逼近物理极限,进一步缩小特征尺寸变得特别困难,众多厂商开始将研发方向由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”。先进封装因其具备高经济效能、高封装密度以及高度集成的优势,目前正进入快速发展的阶段。晶圆厂在刻蚀等前道步骤的硅通孔技术上积累丰富,因而在 2.5D/3D 封装技术方面较为领先;而以日月光为代表的后道封装厂商则更熟悉异质异构集成,在系统级封装的发展方面更有优势。先进封装应用广泛先进封装应用广泛,是,是实现实现 ChipletChiplet 设计的基础设计的基础。在 Chiplet 设计方案中,不同的 die
2、(芯片裸片)之间采用先进封装互联。目前,先进封装向连接密集化、堆叠多样化和功能系统化方向发展主要依赖四大要素:凸块(Bump)、重布线层(RDL)、晶圆(wafer)以及硅通孔(TSV)技术。其中,RDL 和 TSV 分别起到横向及纵向电气延伸的作用,Bump 及晶圆级封装主要起到缩小封装尺寸,提升单位体积性能的作用。目前主流的先进封装方案包括倒装封装(FC)、晶圆级封装、扇出型封装(Fan Out)、2.5D/3D 封装以及系统级封装(SiP)。根据 Yole 及集微咨询数据,倒装封装技术是目前市场份额最大的板块,2022年全球倒装封装技术市场规模为290.9亿美元,占比达76.7%。未来
3、3D 封装有望快速成长,份额有望快速提升。A AI I 加速落地,带动先进封装需求快速增长。加速落地,带动先进封装需求快速增长。先进封装在高算力芯片上优势显著:HBM 方案的提出,解决了存储内存速率瓶颈的问题;AMD 的新款算力芯片 MI300 由 13 个小芯片堆叠而成,采用堆叠子模块的方式进一步提升性能;CoWoS 技术在 GPU 芯片的批量应用,解决了互联密度的问题。目前,伴随 AI 相关应用的加速落地,对于算力芯片的需求快速提升,与之配套的先进封装需求快速增长。目前 CoWoS 的发明者台积电计划斥资 900 亿新台币设立生产先进封装的晶圆厂以满足日益饱满的订单,其他海外大厂也在加快布
4、局,以满足日益增长的先进封装需求。我国先进封装我国先进封装快速发展且快速发展且潜力巨大。潜力巨大。我国先进封装市场快速成长,据中国半导体行业协会统计及集微咨询数据,预计 2023 年中国先进封装市场规模预计达 1330 亿元,2020-2023 年 4 年的复合增长率约为 13.8%。但是,目前国内先进封装市场占比仅为 39.0%,与全球先进封装市场占比(48.8%)相比仍有较大差距,尚有较大提升空间。目前,由于制裁不断升级,国内先进制程发展受阻,Chiplet 设计及先进封装制造有希望成为国产替代的突破口,我国先进封装产业有望进入发展快车道。周期复盘:行业触底持续进行,底部反转或将到来周期复
5、盘:行业触底持续进行,底部反转或将到来。封测厂营收与半导体销售额呈高度拟合关系,受下游需求侧不景气的影响,封测厂商稼动率底部承压。但是,我们判断拐点或将出现,部分设计厂商目前已从“被动补库存”阶段陆续进入“主动去库存阶段”,封测厂商稼动率已有回暖迹象。展望未来,芯片设计公司库存压力将有望随下游需求边际向好而继续改善,待需求底部反转后,由于封测公司在产业链中的位置相对靠后,封测公司有望率先收益。此外,由于封测行业重资产属性强,进入上行周期后,有望表现更高的利润弹性。封测厂:建议积极关注先进封装占比高的长电科技、通富微电、甬矽电子等。先进封装产能积极扩张,与之相关的设备产业链有望率先受益:建议积极
6、关注华海清科、新益昌等。半导体行业景气度复苏不及预期、市场竞争加剧以及先进封装市场规模增长不达预期的风险。行业深度研究 敬请参阅最后一页特别声明 2 内容目录内容目录 一、先进封装:后摩尔时代提升系统性能的关键路径.5 1.1、摩尔定律放缓,先进封装接力先进制程助力持续发展.5 1.2、先进封装发展迅速,各路线百花齐放.7 1.3、Chiplet 助力 AI 算力芯片持续发展.12 二、行业周期:触底持续进行,底部反转或将到来.14 三、海外大厂技术布局.17 3.1、台积电.17 3.2、英特尔.19 3.3、三星.21 3.4、日月光.22 3.5、安靠.23 四、投资建议.24 4.1、
7、封测厂.24 4.2、先进封装设备.30 五、风险提示.36 图表目录图表目录 图表 1:集成电路的两大发展路线.5 图表 2:摩尔定律发展放缓.5 图表 3:封装产业进入先进封装发展阶段.5 图表 4:封测技术发展阶段及代表封装形式.6 图表 5:先进封装具备 I/0 数量多、体积小和高度集成的优势.6 图表 6:先进封装有望助力集成电路翻越制约持续发展的四座“高墙”.7 图表 7:2023 年全球封测市场规模将达 822 亿美元.7 图表 8:2023 年中国大陆封测市场规模将达 2807 亿元.7 图表 9:2026 年全球先进封装市场渗透率将超过 50%.8 图表 10:中国大陆先进封
8、装市场渗透率较低.8 图表 11:全球各先进封装技术市场规模(亿美元).8 图表 12:金凸块工艺流程.9 图表 13:铜柱凸块工艺流程.9 图表 14:铜镍金凸块工艺流程.9 图表 15:电镀焊锡凸块工艺流程.9 图表 16:RDL 工艺流程.10 BUiZtZkYiXcVtRtRtQ8O9R6MnPqQpNnOjMmMwOfQsQrQaQnMrRMYtOsQxNmNrO行业深度研究 敬请参阅最后一页特别声明 3 图表 17:TSV 工艺流程.10 图表 18:先进封装的四要素.10 图表 19:倒装封装与传统封装对比图.11 图表 20:晶圆级封装工艺流程.11 图表 21:扇入/扇出型封
9、装结构示意图.11 图表 22:2.5D/3D 封装结构示意图.11 图表 23:系统级封装具备开发周期更短、良率更高、成本更低的优势.12 图表 24:Chiplet 技术相比 SoC 技术每个模块可以采用不同的工艺.12 图表 25:Chiplet 提高良率和集成度,降低成本,加速芯片迭代.13 图表 26:Chiplet 提升芯片良率.13 图表 27:HBM 解决了内存速率瓶颈的问题.13 图表 28:AMD MI300 剖面图.14 图表 29:封测厂业绩情况与半导体销售额拟合程度高.15 图表 30:移动通信和计算机是 2022 年半导体最大的两个下游应用终端产品.15 图表 31
10、:2023 年全球 PC 出货量预计 2.68 亿台.16 图表 32:2023 年全球智能手机出货量预计 13.4 亿台.16 图表 33:国内模拟芯片设计公司存货(亿元).16 图表 34:国内数字芯片设计公司存货(亿元).17 图表 35:封测公司固定资产折旧占主营业务成本比例高.17 图表 36:台积电 3D Fabric系列产品.18 图表 37:台积电 CoWoS 结构示意图.18 图表 38:台积电 SoIC 与 CoWoS/InFO 的关系.19 图表 39:英特尔 EMIB 结构示意图.20 图表 40:英特尔 Foveros 结构示意图.20 图表 41:英特尔 ODI 结
11、构示意图.21 图表 42:三星 I-Cube S 结构示意图.21 图表 43:三星 I-Cube E 结构示意图.21 图表 44:三星 H-Cube 结构示意图.22 图表 45:三星 X-Cube 结构示意图.22 图表 46:日月光 VIPack先进封装平台.22 图表 47:日月光 FOPoP 及 FOCoS 结构示意图.23 图表 48:日月光 FOPoP-Bridge 及 FOSiP 结构示意图.23 图表 49:光纤集成的演变历程.23 图表 50:安靠先进封装技术.24 图表 51:2022 年海内外主要封测厂商营收排名.25 行业深度研究 敬请参阅最后一页特别声明 4 图
12、表 52:2022 年长电科技实现营收 337.62 亿元,同比增长 10.69%.26 图表 53:2022 年长电科技实现归母净利润 32.31 亿元,同比增长 9.20%.26 图表 54:通富微电产线详情.26 图表 55:2022 年通富微电实现营收 214.29 亿元,同比增长 35.52%.27 图表 56:2022 年通富微电实现归母净利润 5.02 亿元,同比下降 47.53%.27 图表 57:华天科技五大基地主要封装类型及应用.27 图表 58:2022 年华天科技实现营收 119.06 亿元,同比下降 1.58%.28 图表 59:2022 年华天科技实现归母净利润 7
13、.54 亿元,同比下降 46.74%.28 图表 60:甬矽电子主营产品及主要客户.28 图表 61:2022 年甬矽电子实现营收 21.77 亿元,同比增长 5.96%.29 图表 62:2022 年甬矽电子实现归母净利润 1.38 亿元,同比下降 57.11%.29 图表 63:甬矽电子先进封装产品营收拆分(百万元).29 图表 64:2022 年晶方科技实现营收 11.06 亿元,同比下降 21.62%.30 图表 65:2022 年晶方科技实现归母净利润 2.28 亿元,同比下降 60.45%.30 图表 66:国内外先进封装涉及前道及后道设备厂商梳理.30 图表 67:2022 年华
14、海清科实现营收 16.49 亿元,同比增长 104.86%.31 图表 68:2022 年华海清科实现归母净利润 5.02 亿元,同比增长 152.98%.31 图表 69:华海清科 CMP 设备业务营收占比高,毛利率持续增长.31 图表 70:2022 年芯碁微装实现营收 6.52 亿元,同比增长 32.51%.32 图表 71:2022 年芯碁微装实现归母净利润 1.37 亿元,同比增长 28.66%.32 图表 72:芯碁微装主营业务收入稳步增长.32 图表 73:2022 年芯源微实现营收 13.85 亿元,同比增长 67.12%.33 图表 74:2022 年芯源微实现归母净利润 2
15、.00 亿元,同比增长 158.77%.33 图表 75:芯源微光刻工序涂胶显影设备营收稳步增长,收入贡献过半.33 图表 76:2022 年新益昌实现营收 11.84 亿元,同比下降 1.08%.34 图表 77:2022 年新益昌实现归母净利润 2.05 亿元,同比下降 11.76%.34 图表 78:2022 年奥特维实现营收 35.40 亿元,同比下降 72.94%.34 图表 79:2022 年奥特维实现归母净利润 7.13 亿元,同比增长 92.25%.34 图表 80:2022 年大族激光实现营收 149.61 亿元,同比下降 8.40%.35 图表 81:2022 年大族激光实
16、现归母净利润 12.10 亿元,同比下降 39.35%.35 图表 82:2022 年光力科技实现营收 6.14 亿元,同比增长 15.89%.36 图表 83:2022 年光力科技实现归母净利润 0.65 亿元,同比下降 44.56%.36 图表 84:2022 年耐科装备实现营收 2.69 亿元,同比增长 8.19%.36 图表 85:2022 年耐科装备实现归母净利润 0.57 亿元,同比增长 7.68%.36 行业深度研究 敬请参阅最后一页特别声明 5 1.1.1 1、摩尔定律放缓,先进封装接力先进制程助力持续发展、摩尔定律放缓,先进封装接力先进制程助力持续发展 摩尔定律发展放缓,集成
17、电路产业寻求新的发展路线。根据摩尔定律,集成电路上可以容纳的晶体管数目在大约每经过 18 个月到 24 个月便会增加一倍,处理器性能大概每两年翻一倍,同时价格下降为之前的一半。集成电路产业主要沿着两条技术路线发展:一是延续摩尔定律,芯片向小型化发展。通过缩小 CMOS 器件的晶体管尺寸来增加芯片的晶体管数量,进而提升芯片性能。二是超越摩尔定律,采取先进封装技术将模拟、光电、传感等集成在一个系统内,实现系统的性能提升和功能融合。目前,先进制程工艺逐渐逼近物理极限,越来越多的厂商开始将研发方向由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”,先进封装逐渐成为行业发展重点。图表图表1 1:
18、集成电路集成电路的两大发展路线的两大发展路线 图表图表2 2:摩尔定律发展放缓摩尔定律发展放缓 来源:先进封装技术的发展与机遇,国金证券研究所 来源:The Next Platform,国金证券研究所 先进封装正进入快速发展的阶段。集成电路封装行业大致划分为五个发展阶段。第一阶段为通孔插装时代,以 DIP、SIP 技术为代表。第二阶段是表面贴装时代,该阶段以 LCC、SOP 为代表,用引线替代第一阶段的引脚并贴装在 PCB 板上,相对而言封装体积减少、封装密度有所提高。第三阶段是面积阵列时代,开始出现 BGA、CSP、FC 等先进封装技术,这一阶段是目前全球封测厂商所处的主流技术阶段,此阶段引
19、线已被取消,在封装体积大幅缩减的同时提升了系统性能。封装技术的第四阶段,工艺从单芯片变为多芯片、从封装元件演化为封装系统,MCM、SiP、Bumping 等技术发展迅速。此后,微机电机械系统封装(MEMS)、硅通孔(TSV)、扇出型封装(Fan-Out)等立体结构型封装技术相继出现,带动封装产业链进入复杂集成时代。图表图表3 3:封装产业进入先进封装发展阶段封装产业进入先进封装发展阶段 来源:国金证券研究所 行业深度研究 敬请参阅最后一页特别声明 6 图表图表4 4:封测技术发展阶段及代表封装形式封测技术发展阶段及代表封装形式 阶段阶段 封装形式封装形式 具体典型的封装形式具体典型的封装形式
20、第一阶段 通孔插装型封装 晶体管封装(TO)、陶瓷双列直插封装(CDIP)、塑料双列直插封装(PDIP)、单列直插式封装(SIP)等 第二阶段 表面贴装型封装 塑料有引线片式载体封装(PLCC)、塑料四边引线扁平封装(PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、双边扁平无引脚封装(DFN)等 第三阶段 球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热器焊球阵列封装(EBGA)、倒装芯片焊球阵列封装(FC-BGA)等 晶圆级封装(WLP)芯片级封装(CSP)引线框架型 CSP 封装、柔性插入板 CSP 封装、刚性插入板 CSP 封
21、装、圆片级 CSP 封装等 第四阶段 多芯片组封装(MCM)多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制板(MCM-L)等 系统级封装(SiP)、芯片上制作凸点(Bumping)等 第五阶段 晶圆级系统封装-硅通孔(TSV)、扇出型集成电路封装(Fan-Out)、三维立体封装(3D)等 来源:艾森股份招股说明书,国金证券研究所 对比传统封装技术,先进封装 I/O 数量多、体积小且高度集成化。在传统的封装技术中,晶圆被切割后通过引线键合的方式实现互联,起到保护芯片的作用。而外部封装则是通过导线架或导线载板与 PCB 基板进行连接,这种封装形式结构简单、成本低廉。但随着集成电路
22、产业的高速发展,市场对于电子设备的小型化、系统化和信息传递速度等的要求不断提高,先进封装逐渐成为行业主流技术。先进封装运用凸块等工艺,采用倒装等键合方式替代传统的引线键合,在缩短互联距离的同时提高 I/O 密度,具有更高的存储带宽和更好的散热效率。同时封装对象由单裸片发展为多裸片,芯片组合由单类型、平面排布向多功能、立体堆叠演变,显著提高了封装空间利用率和芯片系统性能。图表图表5 5:先进封装具备先进封装具备 I I/0/0 数量多、体积小和高度集成的优势数量多、体积小和高度集成的优势 传统封装传统封装 先进封装先进封装 FanFan-O Outut WLPWLP 2 2.5D/3D.5D/3
23、D 系统内存宽带 低 中 高 芯片能耗比 低 高 高 芯片厚度 高 低 中 芯片发热 中 低 高 封装成本 低 中 高 性能 低 中 高 形态 平面、芯片之间缺乏高速互联 多芯片、异质集成、芯片之间高速互联 功能 芯片保护、电气连接 缩短互联距离、提升功能密度、异质异构集成 来源:国金证券研究所 集成电路发展受阻,先进封装或为破墙首选。当前集成电路的发展面临着“存储墙、面积墙、功耗墙以及功能墙”四座高墙的制约。存储墙:全球计算算力约每两年增长 3.1 倍,而存储带宽每两年增长 1.4 倍,存储器带宽增长速度明显落后处理器。为了突破“存储墙”,业界提出了近存计算方案,通过先进封装为基础的超短互连
24、技术实现存储器和处理器间的近距离数据搬运,其算力和精度更高。面积墙:目前光刻机所能支持的最大曝光区域面积是 26mm*33mm,增加光罩面积来提升晶体管集成数量的话方案成本极高,而采用先进封装技术集成多颗芯片则是目前主流的低成本破局方案。功耗墙:随着芯片算力需求的提升,GPU/CPU 芯片热设计功耗逐年增大,或将突破千瓦级,需更为先进的冷却技术以支持散热需要。行业深度研究 敬请参阅最后一页特别声明 7 功能墙:在单个芯片衬底上可实现的功能有限,通过先进封装的多芯片异质集成技术将计算、存储、传感等功能元件集成起来,可以突破单衬底的功能限制。图表图表6 6:先进封装有望助力先进封装有望助力集成电路
25、集成电路翻越翻越制约持续发展的制约持续发展的四座“高墙”四座“高墙”来源:先进封装技术的发展与机遇,国金证券研究所 1.1.2 2、先进封装先进封装发展迅速发展迅速,各路线百花齐放各路线百花齐放 集成电路封测市场规模逐年增长。根据 Yole 及集微咨询的统计数据,2022 年全球封测市场规模为 815.0 亿美元,同比增长 4.9%,预计到 2026 年市场规模有望达 961.0 亿美元,2022 年-2026 年 CAGR 为 4.2%。中国大陆作为封测产业的三大市场之一,市场规模呈增长趋势。据中国半导体行业协会以及集微咨询数据,2022 年中国大陆封测市场规模为 2995.0亿元,预计到
26、2026 年市场规模有望达 3248.4 亿元。图表图表7 7:20202323 年年全球全球封测封测市场规模市场规模将达将达 8 82222 亿亿美美元元 图表图表8 8:2022023 3 年中国大陆封年中国大陆封测测市场规模市场规模将达将达 28072807 亿元亿元 来源:Yole,集微咨询,国金证券研究所 来源:中国半导体行业协会,集微咨询,国金证券研究所 先进封装市场规模及占比持续提升,中国大陆先进封装占比有望不断提高。据 Yole 及集微咨询数据,2022 年全球先进封装市场规模为 378.0 亿美元,到 2026 年全球先进封装市场规模达 482.0 亿美元,2022 年-20
27、26 年全球先进封装市场规模 CAGR 为 6.3%,先进封装占比有望突破 50%。中国大陆的先进封装市场规模有望快速成长,据中国半导体行业协会统计及集微咨询数据,2020年中国大陆先进封装市场规模为903亿元,市场占比仅为36%,预计 2023 年中国先进封装市场规模预计达 1330 亿元,2020-2023 年 4 年的复合增长率约为 13.8%。但是,目前国内先进封装市场占比仅为 39.0%,与全球先进封装市场占比(48.8%)相比仍有较大差距,有较大提升潜力。0%5%10%15%20%25%03006009001200全球封测市场规模(左轴,亿美元)YOY(右轴,%)-15%-5%5%
28、15%25%004800中国大陆封测市场规模(左轴,亿元)YOY(右轴,%)行业深度研究 敬请参阅最后一页特别声明 8 图表图表9 9:20262026 年全球先进封装年全球先进封装市场渗透率将超过市场渗透率将超过 5 50%0%图表图表1010:中国大陆先进封装市场渗透率中国大陆先进封装市场渗透率较较低低 来源:Yole,集微咨询,国金证券研究所 来源:集微咨询,国金证券研究所 先进封装市场以倒装工艺为主,未来 3D 先进封装技术占比将进一步提升。根据 Yole 及集微咨询数据,倒装(FC)封装技术是目前市场份额最大的板块,2022 年全球倒装封装技术市场规模为 290
29、.9 亿美元,占比达 76.7%,到 2026 年其市场规模有望增加至 340.32 亿美元。其他高阶的封装形式(如 Fan-Out、3D Stacked)占比将有所提升,其中 3D Stacked技术市场规模增长速度最快,2019 年-2026 年期间的复合年增长率为 22.7%,预计 2026 年市场份额将达到 15.3%。图表图表1111:全球全球各各先进封装技术市场规模先进封装技术市场规模(亿美元)(亿美元)来源:Yole,集微咨询,国金证券研究所 先进封装的四大要素推动着封装技术向连接密集化、堆叠多样化和功能系统化方向发展。1)凸块(Bump)技术运用于倒装封装中,是早期先进封装区别
30、于传统封装的一个显著特征。该工艺通过在晶圆或芯片表面焊接球状或柱状金属凸点来实现界面间的电气互联和应力缓冲。随着技术进步,凸块尺寸越来越小,发展出不需要凸块的混合键合(Hybrid Bonding)互联方式,连接密度大幅提升。根据凸块材料的不同,凸块工艺可分为四类:1.金凸块工艺:(1)溅镀,用高速离子对金属进行轰击,使其表面沉积一层金属层;(2)上胶,在晶圆表面涂一层光刻胶,再通过光模板进行曝光,浸入显影液后胶部分溶解,从而在光刻胶上对凸块位置开窗;(3)电镀,将晶圆浸入电镀液,在电流差的作用下金属离子移动到开窗位置形成凸块;(4)去胶、蚀刻,去除多余的光刻胶并通过蚀刻去除凸块周围的金属层。
31、2.铜柱凸块工艺:(1)再钝化,在晶圆上的凸块位置附近涂抹聚合物或金属形成钝化层,以提供芯片保护及结构支撑作用;(2)溅镀;(3)上胶;(4)电镀;(5)去胶、蚀刻;(6)回流,运用助焊剂对焊料进行多次回流,形成光滑的截球形凸块。0%5%10%15%20%00500全球先进封装市场规模(左轴,亿美元)YOY(右轴,%)0%20%40%60%80%100%中国大陆先进封装市场占比中国大陆传统封装市场占比00500200222023E2024E2025E2026EFan-OutWLCSPFlip-chip3D StackedED行业
32、深度研究 敬请参阅最后一页特别声明 9 图表图表1212:金凸块工艺流程金凸块工艺流程 图表图表1313:铜柱凸块铜柱凸块工艺流程工艺流程 来源:颀中科技官网,国金证券研究所 来源:颀中科技官网,国金证券研究所 3.铜镍金凸块工艺:工艺流程与金凸块工艺流程相似,区别在于(1)铜镍金凸块的表面面积更大,改变了芯片的部分线路结构,键合灵活性更高;(2)凸块中铜占比较高,大幅降低成本和导通电阻。4.锡凸块工艺:工艺流程与铜柱凸块工艺流程相似,区别在于(1)球体体积更大,是铜柱凸块尺寸的 3-5 倍,可焊性更强(也可以采用电镀工艺回流形成大直径锡球);(2)分为电镀焊锡和植球焊锡两类,前者尺寸更小,可
33、用于小尺寸封装,后者使用更大的焊锡球来形成接点,可以增加元件与基板底材之间的距离,缓冲基板与元件间因热膨胀差异而产生的应力,增加元件的可靠性。图表图表1414:铜镍金凸块工艺流程铜镍金凸块工艺流程 图表图表1515:电镀焊锡凸块工艺流程电镀焊锡凸块工艺流程 来源:颀中科技官网,国金证券研究所 来源:颀中科技官网,国金证券研究所 2)重布线层(RDL)技术是用于水平方向电气延伸和互联的技术。由于 I/0 触点通常分布芯片四周,如果直接进行倒装封装会因为引线过少或过密影响连接效果,而 RDL通过对芯片上的触点进行重新布局和导电,改变芯片管脚的分布或将管脚引出到外围宽松的区域,从而降低封装难度并增加
34、 I/O 引脚数量。RDL 工艺需要曝光、PVD 等设备,具体工艺流程如下:(1)再钝化形成绝缘层并开口;(2)利用旋涂膜技术涂覆烘烤后形成种子层;(3)上光刻胶,曝光显影后形成线路图再电镀铜垫;(4)去胶、刻蚀;(5)第一层布线完成后重复步骤,开始形成第二层。3)晶圆(wafer)技术是先进封装在封装对象层面实现突破的工艺基础。在传统封装中,裸片先进行切割分片再各自封装,而晶圆级封装(WLP)则是在晶圆的基础上直接封装再进行切割分片,封装面积与裸片一致,可以提高封装效率并降低封装成本。行业深度研究 敬请参阅最后一页特别声明 10 图表图表1616:R RDLDL 工艺流程工艺流程 图表图表1
35、717:T TSVSV 工艺流程工艺流程 来源:SMT,国金证券研究所 来源:SMT,国金证券研究所 4)硅通孔(TSV)技术是在垂直方向上进行电气延伸和互联的技术,也是实现三维立体堆叠和系统集成的基础。该技术通过在硅中介层或芯片中插入垂直的金属填通孔,短距离连接上下层芯片,可以实现高带宽低延时的信息传递,被大量应用于系统级封装(如 2.5D/3D 封装)。TSV 工艺需要 DRIE、CVD、PVD、CMP 等设备,具体工艺流程如下:(1)通孔刻蚀,运用激光刻蚀、湿法刻蚀或深反应离子刻蚀技术在硅片上打孔;(2)在硅孔内形成绝缘层,防止通孔间漏电或串扰;(3)运用物理气相沉积等方法形成阻挡层和种
36、子层;(4)运用电镀工艺在通孔内填充铜、钨、多晶硅等金属材料;(5)运用 CMP 工艺对晶圆片进行抛光减薄;(6)使用粘合剂、金属或氧化物实现多层硅芯片的堆叠和键合。图表图表1818:先进封装的四要素先进封装的四要素 来源:国金证券研究所 倒装封装:是直接在芯片 I/O 焊盘上或 RDL 重布线层上沉积凸块,然后将芯片电气面朝下,倒扣在封装衬底上实现电气互联的封装技术。与传统封装引线键合(Wire Bonding)方式相比,倒装技术大幅缩短了互联距离,电阻电感更小,芯片电性能和散热性更好。同时紧凑的结构排布使得封装具有更小的尺寸和更强的抗冲击性,对于移动设备和工业应用等领域具有重要意义。行业深
37、度研究 敬请参阅最后一页特别声明 11 图表图表1919:倒装封装与传统封装对比图倒装封装与传统封装对比图 图表图表2020:晶圆级封装工艺流程晶圆级封装工艺流程 来源:SK 海力士,国金证券研究所 来源:Semiconductor Engineering,国金证券研究所 晶圆级封装:是对整片晶圆进行封装测试后再切割得到单个成品芯片的技术。对比传统封装先切割晶圆再逐个封装的流程,晶圆级封装技术直接在晶圆上完成封测程序后进行批量化切割,封装与芯片制造融为一体,大幅缩减生产成本。同时该类封装不需要引线框架、基板等介质,可以最大程度地提高封装效率,封装后的芯片尺寸与裸片一致。扇出型封装:晶圆级封装分
38、为扇入型封装(Fan-in)和扇出型封装两种,扇入型封装利用RDL 层将电信号向内扩展至芯片中心,封装尺寸基本等于芯片尺寸,可容纳的 I/O 数量较少,多用于小型便携产品。但随着技术进步,对于芯片 I/O 数量的要求不断提升,扇出型封装应运而生。扇出型封装是在芯片的范围之外利用 RDL 重布层,将电信号向外扩展至芯片外的区域(扇出区),因此可以连接更多引脚。相比于扇入型,扇出型封装具有更好的扩展能力、电气性能和热性能,多用于基带处理器、射频收发器、5G、医疗器件处理器等低耗高频高速的设备中。图表图表2121:扇入扇入/扇出型封装结构示意图扇出型封装结构示意图 图表图表2222:2 2.5D/3
39、D.5D/3D 封装结构示意图封装结构示意图 来源:国金证券研究所 来源:Semiconductor Engineering,国金证券研究所 2.5D/3D 封装:2.5D 封装和 3D 封装是多芯片立体堆叠的封装技术。两者的主要区别在于电互联的实现方式,2.5D 封装是在中介层(interposer)上打孔布线来展开水平互联,3D封装则是直接在芯片上打孔布线实现垂直方向的上下层连接。从制造结构来看,2.5D 封装的芯片倒扣在中介层(interposer)之上,通过一系列的微凸块和硅通孔实现不同功能裸片和基底之间的连接,具有高密度、低功耗和低延迟的特性。而 3D 封装不需要中介层,芯片通过 T
40、SV 多层垂直堆叠直接实现高密度互连,提高了 IC 的性能;同时因为它允许更加紧凑的布线设计,减少了信号传输的阻力,降低了 IC 的功耗。系统级封装(System in Package,SiP):是将多种功能芯片(包括处理器、存储器等)集成在一个封装内,从而实现完整功能的封装方式。目前电路集成化的实现主要有系统级封装和系统级芯片(System on a Chip,SoC)两条技术路径。SoC 是将具有不同功能的元器件整合在单个芯片中的技术,一颗芯片即为一个高度集成系统,其信息传递效率更高、体积更小,缺点在于其设计开发的周期更长,技术性要求更高,开发成本更高,因此多应用于对运算功能要求高的高单价
41、 GPU、HPC 等。而系统级封装是将单颗功能复杂的 SoC 集成芯片剥离成多个具有特定功能的芯片(Chiplet),再采用 TSV、interposer 等工艺形成行业深度研究 敬请参阅最后一页特别声明 12 多功能异质异构的封装,其开发周期更短、良率更高、成本更低,是目前平衡功能与经济效益的最优选择。图表图表2323:系统级封装系统级封装具备开发周期更短、良率更高、成本更低的优势具备开发周期更短、良率更高、成本更低的优势 系统级芯片系统级芯片 SoSoC C 系统级封装系统级封装 SiSiP P 一个芯片就是一个系统 集成系统的各个芯片与无源器件 开发周期长、成本高、良率低 更开发周期短、
42、成本低、良率高 多是同质材料 可集成异质组件,如射频器件、RLC 更高密度、更高速 相对 SoC 密度低、速度低 产品效能较高 产品效能较低 来源:国金证券研究所 1 1.3 3、ChipletChiplet 助力助力 A AI I 算力算力芯片芯片持续发展持续发展 Chiplet 提升大芯片制造良率,降低生产制造成本 经 Chiplet 架构设计后,不同的 die(芯片裸片)之间采用先进封装互联。Chiplet 指小型模块化芯片,通过 die-to-die 内部互联技术将多个模块芯片与底层基础芯片封装在一起形成一个整体的内部芯片。与 SoC 不同,Chiplet 将不同模块从设计时就按照不同
43、计算或者功能单元进行分解,制作成不同 die 后使用先进封装技术互联封装,不同模块制造工艺可以不同。图表图表2424:ChipChipletlet 技术相比技术相比 S SoCoC 技术每个模块可以采用不同的工艺技术每个模块可以采用不同的工艺 来源:国金证券研究所 Chiplet 相比传统 SoC 芯片优势明显。Chiplet 能利用最合理的工艺满足数字、射频、模拟、I/O 等不同模块的技术要求,把大规模的 SoC 按照功能分解为模块化的芯粒,在保持较高性能的同时,大幅度降低了设计复杂程度,有效提高了芯片良率、集成度,降低芯片的设计和制造成本,加速了芯片迭代速度。行业深度研究 敬请参阅最后一页
44、特别声明 13 图表图表2525:ChipletChiplet 提高良率和集成度,降低成本,加速芯片迭代提高良率和集成度,降低成本,加速芯片迭代 ChiplChipletet 的优势的优势 原因原因 良率提高 大面积的 SoC 放大了芯片生产中的工艺误差和加工缺陷,而 Chiplet 只需要保障各个被集成的裸片的良率即可,有效降低了先进制程的研发和制造风险,提高了良率 集成度提高 Chiplet 通过多个芯片片间集成,可以在封装层面突破单芯片上限,提高集中度、复杂度 设计成本降低 Chiplet 设计灵活,且可以重复使用 制造成本降低 Chiplet 可针对不同模块采取最合适的制程分开制造,制
45、造成本大幅降低 芯片迭代速度加快 Chiplet 将已有合格裸片进行集成设计,缩短了芯片的研发和设计周期,且不同模块可以分别迭代,加块芯片迭代速度 来源:国金证券研究所 图表图表2626:ChipletChiplet 提升芯片良率提升芯片良率 来源:唯芯派,国金证券研究所 HBM 的应用解决了内存速率瓶颈 HBM(High Bandwidth Memory)即高带宽存储器,其通过使用先进的封装方法(如 TSV 硅通孔技术)垂直堆叠多个 DRAM。在高性能计算应用对内存速率提出了更高的要求的背景下,使用先进封装工艺的 HBM 很好的解决了传统 DRAM 的内存速率瓶颈的问题。HBM 内部的 DR
46、AM 堆叠属于 3D 封装,而 HBM 与 AI 芯片的其他部分合封于 Interposer 上属于 2.5D 封装。图表图表2727:H HBMBM 解决了内存速率瓶颈的问题解决了内存速率瓶颈的问题 来源:Hardzone,国金证券研究所 堆叠子模块,提升计算性能 行业深度研究 敬请参阅最后一页特别声明 14 Chiplet 支持多颗计算 die 合封于同一芯片,通过堆叠实现处理能力的提升。AMD 于 2023年 6 月发布了 MI300 产品,该芯片拥有 13 个小芯片,共包括 9 个 5nm 的计算核心(6 个GCD+3 个 CCD),4 个 6nm 的 I/O die 兼 Infini
47、ty Cache(同时起到中介层的作用,位于计算核心和 interposer 之间),同时还搭载了累计 8 颗共计 128GB 的 HBM3 芯片。图表图表2828:A AMD MI300MD MI300 剖面图剖面图 来源:HighYield,国金证券研究所 助力国产半导体厂商突破海外制裁 Chiplet 技术发展潜力大,有望助力国产半导体厂商突破海外科技领域制裁。2020 年美国将中芯国际列入“实体清单”,限制 14nm 及以下制程的扩产,导致国产 14nm 制程处于存量市场无法扩张。Chiplet 技术可部分规避海外限制,向下超越封锁:1)Chiplet“化整为零”,将单颗芯片裸片面积缩
48、小,使坏点出现时对整体晶圆的影响缩小,即良率提高,因此在国内 14nm 产能为存量的局面下提升了实际芯片产出。2)Chiplet 可仅对核心模块如 CPU、GPU 采用先进制程,对其他模块采用成熟制程,有效降低对先进制程的依赖,减少了 14nm 晶圆的用量。3)Chiplet 可通过将两颗 14nm 芯片堆叠互联,单位面积晶体管数量翻倍,实现超越 14nm 芯片的性能。因此 Chiplet 技术成为中国半导体行业实现弯道超车的逆境突破口之一。封测厂营收与半导体销售额呈高度拟合关系。从产业链位置来看,封测属半导体产业链中位置相对靠后的环节,封测厂生产的产品将成为最终产品形态并进入设计厂商库存。因
49、此,在库存水位较高的情况下,受 IC 设计厂商砍单影响,封测厂商表现会相对较弱,业绩出现明显下滑;但若当下游需求好转情况下,IC 设计厂商会优先向封测厂商加单,加工处理之前积累的未封装晶圆,进而推动整体产业链从底部实现反转。从规模上看,封测厂营收趋势与全球半导体销售额基本一致。行业深度研究 敬请参阅最后一页特别声明 15 图表图表2929:封测厂业绩情况与半导体销售额拟合程度高封测厂业绩情况与半导体销售额拟合程度高 来源:Wind,国金证券研究所 下游出货量持续低迷是半导体景气度下滑的重要因素。按下游占比来看,移动通信和计算机是半导体最大的两个下游应用终端产品,移动通信约占 30%,计算机终端
50、市场约占 26%,汽车、工业和其他消费类电子占据了其余部分。而手机和电脑的出货量持续低迷,处在持续探底的过程中,根据 Gartner2023 年 1 月发布的预测数据,2023 年全球 PC、智能手机出货量分别为 2.68 亿台、13.4 亿台,较 2022 年分别-6.5%、-4.0%。图表图表3030:移动通信和计算机是移动通信和计算机是 2 2022022 年半导体最大的两个下游应用终端产品年半导体最大的两个下游应用终端产品 来源:SIA,国金证券研究所 30%26%14%14%14%2%移动通信计算机汽车消费电子工业政府行业深度研究 敬请参阅最后一页特别声明 16 图表图表3131:2
51、0232023 年全球年全球 P PC C 出货量预计出货量预计 2.682.68 亿台亿台 图表图表3232:20232023 年全球智能手机出货量预计年全球智能手机出货量预计 13.413.4 亿台亿台 来源:Gartner,Wind,国金证券研究所 来源:Gartner,Wind,国金证券研究所 国内芯片设计公司整体库存维持在较高水位,部分公司库存拐点已现。受供需双重作用,国内芯片设计上市公司在 2021 年-2022Q3 库存水平持续攀升。从供给端来看,自 2020 年受特殊因素影响,全球出现芯片短缺,各大半导体制造企业积极扩产。伴随新产能陆续释放,全球半导体产能出现供给过剩。从需求端
52、来看,受外部环境及美联储加息等影响,下游消费需求持续疲软,半导体销售额持续下降。在供需双重作用下,设计厂商进入被动补库存阶段。但是,我们判断行业拐点或将出现但是,我们判断行业拐点或将出现,部分设计厂商目前已从“被动补库存”阶段陆续部分设计厂商目前已从“被动补库存”阶段陆续进入进入“主动去库存阶段”。“主动去库存阶段”。22Q4-23Q1 已经有部分芯片设计厂商库存水位下降,大多数芯片设计公司库存呈企稳态势。展望未来,芯片设计公司库存压力将有望随下游需求边际向好而继续改善。图表图表3333:国内模拟芯国内模拟芯片设计公司存货(亿元)片设计公司存货(亿元)来源:Wind,国金证券研究所 -20%-
53、10%0%10%20%00全球PC出货量(百万台,左轴)YoY(%,右轴)-15%-10%-5%0%5%10%1213141516全球智能手机出货量(亿台,左轴)YoY(%,右轴)04812162019Q1 19Q2 19Q3 19Q4 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1 22Q2 22Q3 22Q4 23Q1卓胜微圣邦股份纳芯微翱捷科技思瑞浦唯捷创芯汇顶科技杰华特南芯科技电科芯片艾为电子上海贝岭行业深度研究 敬请参阅最后一页特别声明 17 图表图表3434:国内数字芯片设计公司存货(亿元)国内数字芯片设计公司存货(亿
54、元)来源:Wind,国金证券研究所 封测行业重资产属性强,利润受稼动率影响较大。封测行业呈现重资产属性的特质,固定资产的比重相对较大。稼动率受下游厂商库存水位偏高的影响,相对处于低位。固定资产的折旧占成本比重较大,在行业下行周期内会对利润造成较大影响。但展望未来,一旦下但展望未来,一旦下游需求好转,渠道库存下降,利润增长的弹性较大。游需求好转,渠道库存下降,利润增长的弹性较大。图表图表3535:封测公司固定资产折旧占主营业务成本比例高封测公司固定资产折旧占主营业务成本比例高 来源:Wind,国金证券研究所 筑底已基本完成,封测大厂业绩有望逐季改善。从下游需求来看,至暗时刻已过,部分设计厂商库存
55、水位下降,已进入主动去库存阶段。下半年,部分海外厂商将备货新产品,对于封测端的需求有望提升。台湾力成在 7 月 25 日法说会表示,维持今年业绩逐季改善的看法,二季度业绩优于一季度,预计第三季营收也将优于第二季度。此外,受益于 AI 浪潮的拉动,先进封装产能吃紧。从产业趋势来看,有先进封装能力及汽车电子封测业务的公司,将在本轮复苏中更为受益。3.3.1 1、台积电、台积电 台积电作为产业龙头,早在 2008 年底就成立了专门的导线与封装技术整合部门来研发封装技术,经过十余年的技术研发积累了多款先进封装技术,并于 2020 年宣布推出 3D Fabric品牌,进一步整合公司制程工艺和封装技术。3
56、D Fabric包括前段系统整合芯片技术(SoIC)和后段基板上芯片封装技术(CoWoS)和整合型扇出封装技术(InFO)。01020304019Q119Q219Q319Q420Q120Q220Q320Q421Q121Q221Q321Q422Q122Q222Q322Q423Q1紫光国微兆易创新北京君正格科微佰维存储江波龙复旦微电晶晨股份瑞芯微思特威国科微寒武纪0%5%10%15%20%25%长电科技通富微电华天科技甬矽电子200212022行业深度研究 敬请参阅最后一页特别声明 18 图表图表3636:台积电台积电 3 3D FD Fabricabric系列产品系列产品
57、来源:台积电官网,国金证券研究所 1)CoWoS(Chip on Wafer on Substrate)是台积电 2011 年推出的首个 2.5D 先进封装技术,包括 CoWoS-S、CoWoS-R 和 CoWoS-L 三类。CoWoS-S 包括 CoW 和 oS 两部分,芯片间通过 CoW 工艺与硅晶圆相连,再通过凸块将CoW 芯片与基板相连。该技术用微凸块和硅穿孔工艺代替传统引线键合,将不同功能的芯片堆叠在同一个硅中介层上实现互联,具有缩小封装尺寸、降低功耗、提升系统性能的优点。CoWoS-R 是扇出型晶圆级封装,该技术利用 RDL 内插件实现芯片间的互连(常用于 HBM和 SoC 的异构
58、集成),RDL 重布线层由聚合物和铜线组成,具有较高的机械灵活性。这种灵活性提高了 C4 连接的完整性,可以扩大封装尺寸以满足更复杂的功能需求。CoWoS-L 是扇出型晶圆级封装,它结合了 CoWoS-S 和 InFO 技术的优点,通过使用带有 LSI(局部硅互连)芯片的互插器实现芯片间的互连,并通过 RDL 层实现电源和信号传输,集成最为灵活。图表图表3737:台积电台积电 CoWoSCoWoS 结构示意图结构示意图 来源 Semiwiki,国金证券研究所 2)InFO(Integrated Fan-Out)是扇出型晶圆级系统集成技术,包括 InFO_oS、InFO_PoP和 InFO_LS
59、I。InFO_oS 与 CoWoS-L 相似,芯片间通过局部硅相连再用 RDL 重布层连接芯片和 PCB 基板,其具有高密度的 RDL 带宽,适合 HPC 和 5G 通信领域,多用于小芯片消费性产品。InFO_PoP 是全球首款三维扇出型晶圆级封装,是 FOWLP 和 PoP 的结合体,上层 DRAM芯片通过 TIV(Through InFO Via)与基板相连,再通过凸块与下层扇出型晶圆级封装的处理器相连形成 3D 结构,与 FC_PoP 相比,InFO_PoP 外形更薄,具有更好的电气和热性能,适用于移动设备、HPC 等领域。行业深度研究 敬请参阅最后一页特别声明 19 InFO_LSI
60、则是在 InFO_oS 的结构基础上,在基板内嵌入了 LSI 以增加互联速度,适用于 HPC 领域。3)SoIC(System of Integrated Chips)是超高密度芯片 3D 立体堆叠技术,包含 CoW(Chip on Wafer)和 WoW(Wafer on Wafer)两种方案,其中 CoW 技术是晶粒层面的互联,即将不同尺寸、功能的芯片进行异质集合,而 WoW 技术则是直接用整块晶圆来进行互联,通过晶圆堆叠工艺实现同质异构 3D 硅的集成。该技术将多个小芯片集成在单个类似于 SoC 的芯片中,具有比原生 SoC 更好的性能。此外,不同于传统的中介层或芯片堆叠的方式,该技术可
61、以在不使用微凸点的情况下直接将硅金属层键合到硅芯片上,可实现更小的体积和更少的功耗,是目前业内最先进的堆叠互连技术之一。SoIC 属于前道 3D 制造环节,而 CoWoS 和 InFO 属于后道环节,因此,通过 SoIC 技术将芯片集成到类似于 SoC 芯片中,再整合到 CoWoS 或 InFO 工艺中,可以实现更小的键合间隔和更高的封装密度。图表图表3838:台积电台积电 S SoICoIC 与与 CoWoS/InFOCoWoS/InFO 的关系的关系 来源:台积电官网,国金证券研究所 3.3.2 2、英特尔、英特尔 和台积电相似,英特尔也在先进封装领域布局多年,相继推出 EMIB、Fove
62、ros 和 Co-EMIB等先进封装技术,其 EMIB 技术和 Foveros 技术分别对标台积电的 CoWoS 技术和 InFO 技术,但相关产品的量产时间均落后于台积电。1)EMIB(Embedded Multi-Die Interconnect Bridge)是 2.5D 封装技术,它是在载板中嵌入硅桥来完成芯片间互联,而不是采用 2.5D 封装中常见的硅中介层进行互联。相比而言,硅桥接层(Silicon Bridge)面积小、成本低,可以提供更高的带宽和更低的功耗,具备设计简单和封装良率优秀等特点,但 EMIB 封装结构并不适用于芯片数量多且对于互联性能要求高的产品。行业深度研究 敬请
63、参阅最后一页特别声明 20 图表图表3939:英特尔英特尔 E EMIBMIB 结构示意图结构示意图 来源:Cool3C,国金证券研究所 2)Foveros 技术是英特尔推出的首款 3D 堆叠技术。Foveros 的顶层芯片以面对面的方式(F2F)相连,下方有微凸块,底层芯片中具有 TSV 硅穿孔,再通过下方的凸块实现基板与上层芯片的连通。与 EMIB 相比,Foveros 可以将不同尺寸和用途的芯片整合起来,进行芯片的横向和纵向的互联,具有极大的灵活性,适用于小尺寸或者带宽要求高的产品。图表图表4040:英特尔英特尔 F Foverosoveros 结构示意图结构示意图 来源:Semiwik
64、i,国金证券研究所 3)Co-EMIB 和 ODI 是英特尔在提升封装可扩展性方面的技术成果。其中,Co-EMIB 技术是EMIB 和 Foveros 的结合,可以实现多个 Foveros 结构元件的互连。ODI(Omni Directional Interconnect)技术主要有两种类型,Type 1 类似于 EMIB 结构,底层芯片居于顶层芯片之间,芯片间通过 ODI 实现水平互连,Type 2 类似于 Foveros,底层芯片完全被顶层芯片覆盖,上下层芯片通过 TSV 进行垂直互连。这两种类型可以与硅中介层混用,针脚数量远高于传统封装技术,具有更高的带宽和更低的电阻。行业深度研究 敬请
65、参阅最后一页特别声明 21 图表图表4141:英特尔英特尔 O ODIDI 结构示意图结构示意图 来源:Wikichip,国金证券研究所 3.3.3 3、三星、三星 由于台积电 CoWoS 技术的领先优势,三星近年来错失大陆英伟达和苹果等巨头的芯片代工订单,与台积电的市占率差距不断扩大。为扭转公司封装技术的落后局势,三星持续发力,相继推出 I-Cube、H-Cube 和 X-Cube 三大先进封装技术。1)I-Cube(Interposer Cube)是 2.5D 封装技术,以 TSV 和 BEOL 技术为基础,通过水平并行的方式集合两个以上不同尺寸和功能的芯片。根据中介层的不同,分 I-Cu
66、be S 和 I-Cube E 两种,分别对标台积电的 CoWoS-S 和 CoWoS-R。I-Cube S 将一块逻辑芯片和一组高带宽存储墙 HBM 放置在硅中介层上,再运用凸点和 TSV 硅通孔技术连接芯片和 PCB 载板,具有高算力、高带宽和低延迟等特点。I-Cube E 无 TSV 结构,是在 RDL 中介层中嵌入硅桥来实现芯片间的互联,具有精细成像的优势。图表图表4242:三星三星 I I-C Cubeube S S 结构示意图结构示意图 图表图表4343:三星三星 I I-C Cubeube E E 结构示意图结构示意图 来源:三星官网,国金证券研究所 来源:三星官网,国金证券研究
67、所 2)H-Cube(Hybrid Substrate Cube)是 2.5D 封装技术,通过硅中介层连接逻辑芯片、HBM和下层的混合基底。其特点在于,通过整合精细成像的ABF(Ajinomoto Build-up Film)基板和高密度互联(High Density Interconnection,HDI)基板来实现 2.5D 封装中更大尺寸的封装,专用于需要高性能和大面积封装技术的高性能计算、人工智能、数据中心和网络产品等领域。行业深度研究 敬请参阅最后一页特别声明 22 图表图表4444:三星三星 H H-C Cubeube 结构示意图结构示意图 图表图表4545:三星三星 X X-C
68、Cubeube 结构示意图结构示意图 来源:三星官网,国金证券研究所 来源:三星官网,国金证券研究所 3)X-Cube(eXtended Cube)是 3D 封装技术,计划在 2024 年实现量产。该技术采用在 Z轴堆叠逻辑裸片的方法,将芯片垂直堆叠起来,大幅缩短互联距离、节省封装面积,直接对标台积电的 SoIC 技术。在芯片互联方式上,有微凸块和铜混合键合 HCB(Hybrid Copper Bonding)两种技术,后者可以提供更高的芯片布局灵活性和更高的堆栈芯片密度,具备高带宽和低能耗等优势。3.3.4 4、日月光日月光 日月光作为目前全球最大的封装测试厂商,于 2022 年推出了 VI
69、Pack 先进封装平台,提供垂直互联整合封装解决方案。此平台利用先进的重布线层(RDL)制程、嵌入式整合以及2.5D/3D 封装技术实现超高密度和性能设计的三维异质封装结构,主要由六大核心封装技术组成。图表图表4646:日月光日月光 VIPackVIPack先进封装平台先进封装平台 来源:日月光官网,国金证券研究所 1)FOPoP(Fan-Out Package on Package)是一种基于 RDL 的封装,它将下层的扇出式封装与上层的封装堆叠在一起,下层封装的顶部和底部有由铜柱穿模连接的 RDL 重布线层。与传统 PoP 相比可以进一步减小封装尺寸并提高电气和热性能,具有低延迟、小体积和
70、高带宽的优势,多用于便携式电子产品(如智能手机、平板电脑和可穿戴设备)等领域。2)FOCoS(Fan-Out Chip on Substrate)是一种扇出型倒装封装,它由两个 ASIC 芯片组成,芯片倒扣,通过铜通孔与 RDL 相连(没有微凸块),再与具有高引脚数量的 BGA 基板封装。这一技术可以建立芯片间的短距离连接,以尽可能高效地实现高密度高效互联,多用于高性能计算领域。行业深度研究 敬请参阅最后一页特别声明 23 图表图表4747:日月光日月光 F FOPoPOPoP 及及 F FOCOCo oS S 结构示意图结构示意图 图表图表4848:日月光日月光 F FOPoPOPoP-B
71、Bridgeridge 及及 F FOSOSi iP P 结构示意图结构示意图 来源:日月光官网,国金证券研究所 来源:日月光官网,国金证券研究所 3)FOCoS-Bridge(Fan-Out Chip on Substrate Bridge)是一种 2.5D 封装,它具有 ASIC和 HBM 两个芯片,两者通过嵌入 RDL 重布线层的硅桥芯片实现超细间距互连。它利用高度集成的扇出结构的优势,克服了传统电气互连的局限性,实现了处理器、加速器和内存模块之间高速、低延迟和高能效的数据通信,多用于人工智能、高性能计算应用等领域。4)FOSiP(Fan-Out System in Package)是集
72、合 RDL、载体系统、晶圆级组装和屏蔽溅射几大技术的系统级封装。其中,扇出型 RDL 提供了优于主流基板的精细线路灵活设计能力,载体系统使薄型晶圆处理成为可能,晶圆级组装提供了高速的 SMT 服务,屏蔽溅射技术为特定射频应用提供了选择。FOSiP 具有更高的频率应用、更好的性能和成本效益,广泛应用于智能手机、平板电脑、射频基础设施、边缘计算和物联网等领域。5)2.5D/3D 封装是将多个集成电路封装在同一封装内的封装方法。它可以将 GPU、CPU、HBM 和去耦电容集成在一起,并用带有 TSV 的硅内插层弥合组装基板和集成电路板之间细间距,多用于高端 GPU、5G、人工智能、显示驱动等领域。6
73、)CPO(Co-packaged Optics)是将 ASIC 和光引擎共同装配在同一个插槽上的共封装,其主要是基于硅光电子技术。硅光子技术可以利用 CMOS 生态系统实现电路高密度光子集成,并在紧凑型芯片上低成本实现复杂的光学功能。与传统的集成电路相比,硅光子技术具有更高的带宽和更好的能效,在高速传输数据时不会造成严重的信号失真,多用于高性能计算领域。图表图表4949:光纤集成的演变光纤集成的演变历程历程 来源:日月光官网,国金证券研究所 3.3.5 5、安靠、安靠 安靠作为第二大封测龙头厂商,一直致力于开发包括硅通孔、穿塑通孔、铜柱、铜混合键行业深度研究 敬请参阅最后一页特别声明 24 合
74、等在内的技术工艺,目前主要有 WLCSP、WLFO、WL3D、DSMBGA、AiP/AoP 和 SWIFT/HDFO六大先进封装技术。其中 SWIFT/HDFO 封装是一项高密度扇出技术(HDFO),它可以在日益紧凑的封装结构中通过 RDL 技术将多组晶片组装在一起,弥合了 TSV 和 WLFO 封装之间的差距。一般来说,S-SWIFT 设计有 4 层 RDL,第 1 和第 3 层用于信号路由,第 2 层充当接地层,第 4 层则作为某个平面或用于铜柱(CuP)互连。图表图表5050:安靠先进封装技术安靠先进封装技术 技术技术 示意图示意图 应用应用 晶圆级芯片尺寸封装 WLCSP 高端 RF、
75、FPGA、电源管理、闪存/EEPROM、集成无源网络、汽车等 晶圆级扇出型封装 WLFO 通信、计算机、医疗、汽车等 晶圆级 3D 堆叠封装 WL3D 移动消费品、基带、RF/无线、模拟、M2M 通信、物联网(IoT)等 双面模塑封装 DSMBGA RF、可穿戴设备、汽车、计算机等 封装内/上天线 AiP/AoP 移动消费品、汽车、航空航天等 硅晶圆集成扇出型封装 SWIFT/HDFO CPU/GPU、FPGA、移动 AP/BB 等 来源:安靠官网,国金证券研究所 4.14.1、封测厂、封测厂 建议积极关注布局先进封装的长电科技、通富微电、华天科技、甬矽电子以及晶方科技。中国大陆封测厂商在全球
76、化竞争中已占据重要地位,三家龙头厂商稳居行业营收前十。根据芯思想研究院 2022 年全球委外封测榜单,2022 年全球前三大封测厂商分别为日月光、安靠和长电科技,市占率合计 51.9%,行业集中度较高。在 2022 年营收前三十榜单中,中国大陆上榜四家,其中长电科技、通富微电和华天科技稳居前十,甬矽电子作为行业新秀营收排名达到二十二名。行业深度研究 敬请参阅最后一页特别声明 25 图表图表5151:2 2022022 年海内外主要封测厂商营收年海内外主要封测厂商营收排名排名 营收排名营收排名 公司名称公司名称 国家地区国家地区 营收营收(M$M$)营收增长营收增长 净利润净利润(M$M$)毛利
77、率毛利率 研发营收比研发营收比 1 日月光 中国台湾 12325.0 2.9%1951.0 28.4%5.6%2 安靠 美国 7091.6 15.5%765.8 18.8%2.1%3 3 长电科技长电科技 中国大陆中国大陆 4 4847.7847.7 5 5.4%.4%4 463.963.9 1 17.1%7.1%3 3.9%.9%4 4 通富微电通富微电 中国大陆中国大陆 3076.83076.8 2 28.8%8.8%67.367.3 1 13.9%3.9%6 6.2%.2%5 力成 中国台湾 2752.7-7.1%283.5 20.8%2.9%6 6 华天科技华天科技 中国大陆中国大陆
78、1 17 70909.5.5 -5.9%5.9%1 146.846.8 1 16.9%6.9%5 5.9%.9%7 京元电子 中国台湾 11209.5 1.1%223.1 35.6%3.4%8 颀邦科技 中国台湾 822.8-17.4%202.6 32.7%3.2%9 南茂科技 中国台湾 786.6-20.0%119.8 21.0%4.9%10 HANA 韩国 690.0 19.6%19.3%3.6%2 22 2 甬矽电子甬矽电子 中国大陆中国大陆 3 31 12 2.6.6 1 1.4%.4%1 19.79.7 2 22.0%2.0%5 5.6%.6%来源:Wind,国金证券研究所 长电科技
79、:封测龙头公司,先进封装打开成长空间 公司是全球第三大,中国大陆第一大半导体封测厂商。公司成立于 1972 年,于 2016 年并购星科金朋后进入发展快车道。据芯思想研究院发布的 2022 年全球委外封测榜单,长电科技市占率为 10.71%,在全球前十大 OSAT 厂商中排名第三,仅次于台湾的日月光和美国安靠,是中国大陆排名第一的封测企业。公司拥有三大研发中心及六大生产基地,本部包括江阴、滁州、宿迁三大厂,覆盖传统高中低端封装,星科金朋(韩国、新加坡、江阴)、长电先进、长电韩国则以先进封装为主。公司于 2023 年 1 月宣布其 XDFOI Chiplet 高密度多维异构集成系列工艺已按计划进
80、入稳定量产阶段,能够为国际客户提供 4nm 节点芯片系统的集成,最大封装体面积约为 1500mm。该项技术可以在高性能计算、人工智能、5G、汽车电子等领域应用,为客户提供了外型更轻薄、数据传输速率更快、功率损耗更小的芯片成品制造解决方案。公司产品主要下游应用领域包括通信、汽车电子、高性能计算和存储等领域。通信:公司在大颗 FCBGA 封装测试技术上已累积十余年经验,具备从 12x12mm 到77.5x77.5mm 全尺寸 FCBGA 量产能力。2022 年公司完成 5G 相关的毫米波 RF 产品和测试解决方案,WiFi-6e 及 RFFE 模组已投入生产。汽车电子领域:公司设有专门的汽车电子事
81、业中心,产品类型已覆盖智能座舱、智能网联、ADAS、传感器和功率器件等多个应用领域。目前海内外六大生产基地全部通过IATF16949 认证,并都有车规产品开发和量产布局。公司韩国工厂与下游企业合作研发了用于新能源汽车大客户的芯片,将用于该客户车载娱乐信息和 ADAS 辅助驾驶。高性能计算:公司将研发投入到高密度多层重布线扇出型封装技术 FO-MCM,该技术可以提供稳定高良率的产出。公司产能充足、交期短、质量好(良率均能达到 99.9%以上),江阴厂区可满足客户从中道封测到系统集成及测试的一站式服务。存储:公司服务覆盖 DRAM、Flash 等各种存储芯片,目前已积累 20 多年存储封装量产经验
82、,16 层 NAND Flash 堆叠、35m 超薄芯片制程能力、Hybrid 异型堆叠等存储封测技术均处于国内行业领先的地位。公司 2022 年继续保持了营收及利润的稳健增长。公司 2022 年实现营收 337.62 亿元,同比增长 10.69%;实现归母净利润 32.31 亿元,同比增长 9.20%。展望未来,公司有望受益于汽车电子、5G 通信、高性能计算、存储等高附加值产品结构占比提高。行业深度研究 敬请参阅最后一页特别声明 26 图表图表5252:2 2022022 年年长电科技实现营收长电科技实现营收 337.62337.62 亿元,同比亿元,同比增长增长 10.69%10.69%图
83、表图表5353:2 2022022 年长电科技实现归母净利润年长电科技实现归母净利润 32.3132.31 亿元,亿元,同比增长同比增长 9.20%9.20%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 通富微电:营收增长迅速,先进封装实力强劲 公司是全球第五大,国内第二大封测厂商。据芯思想研究院发布的 2022 年全球委外封测榜单,公司 2022 年营收规模首次进入全球四强。公司产品种类丰富,广泛应用于高性能计算、大数据存储、网络通讯、移动终端、车载电子、人工智能、物联网、工业智造等领域。公司共设有七大生产基地,分别为崇川总部、南通通富、合肥通富、通富超威苏州、通富超威槟城
84、、厦门通富和通富通科。公司已覆盖多个先进封装工艺,自建 2.5D/3D 产线全线通线。图表图表5454:通富微电产线详情通富微电产线详情 基地基地 持股比例持股比例 主要封装类型主要封装类型 产品应用产品应用 2 2022022 年收入年收入 2 2022022 年利润年利润 崇川本部 母公司 中高端产品为主:FCLGA、QFN、bumping、WLCSP、FCCSP、BGAs、SIP 汽车电子以及功率模块 68.38 亿元 2.39 亿元 苏州 85%先进封装为主:FCBGA、FCPGA、FCLGA、MCM、Chiplet CPU、GPU、APU、游戏机芯片等 69.57 亿元 3.78 亿
85、元 槟城 85%74.28 亿元 2.89 亿元 南通通富(苏通)100%高端产品为主:BGAs、LGAs、FCBGA、QFN、SIP 手机终端、5G 通讯领域 17.25 亿元-1.01 亿元 合肥通富 100%超高密度框架为主:SOP、宽排 SOT/SC70/MSOP 存储器、显示驱动 8.63 亿元-1.26 亿元 通富通科 78%PDFN、TO 等 功率器件 0.33 亿元-0.58 亿元 来源:通富微电公告,国金证券研究所 公司紧贴 AMD 等龙头客户,营收增速高。公司主要客户有 AMD、恩智浦、联发科、英飞凌、德州仪器、意法半导体、韦尔股份、兆易创新、卓胜微、艾为电子等。公司目前为
86、 AMD 最大的封装测试供应商,占其订单总数的 80%以上。公司 2022 年度实现营收 214.29 亿元,同比增长 35.52%;实现归母净利润 5.02 亿元,同比下滑 47.53%。在行业整体处于下行周期内的阶段,公司营收实现较大幅增长的主要原因大客户订单增长较快。但同时,由于受到汇兑损失和计提折旧的影响,利润出现了较大幅度下滑。-20%-10%0%10%20%30%00营业收入(亿元,左轴)YoY(%,右轴)-800%-400%0%400%800%1200%1600%-20-归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最
87、后一页特别声明 27 图表图表5555:2 2022022 年年通富微电通富微电实现营收实现营收 214214.2.29 9 亿元,同比亿元,同比增长增长 3535.5252%图表图表5656:2 2022022 年年通富微电通富微电实现归母净利润实现归母净利润 5.025.02 亿元,亿元,同比同比下降下降 47.5347.53%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 华天科技:积极布局先进封装,下行周期业绩承压 公司是全球第六大,国内第三大封测厂商。公司产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等领域。公司目前主要封装产
88、品可分为三类:引线框架类产品:主要包括 DIP/SOP、QFP、QFN、FCQFN、SOT、DFN;基板类产品:主要包括 WBBGA/LGA、FCCSP/FCLGA、FCBGA、SiP;晶圆级产品:定位高端产品,主要包括 WLP 系列、TSV 系列、Bumping 系列和 MEMS 系列等。图表图表5757:华天科技五大基地华天科技五大基地主要封装类型及应用主要封装类型及应用 基地基地 主要封装类型主要封装类型 规划投资金额与进展规划投资金额与进展 应用应用 天水 引线框封装为主:DIP/SIP/SOP/MSOP/SSOP/VSOP/SSOP/TSSOP/SOT/QFP/LQFP/TQFP 目
89、前总投资 58 亿元,占地 500亩,拥有净化厂房 10 万+驱动电路、电源管理、蓝牙、MCU、NORFlash、电表电路等 西安 引线框封装为主:QFN/DFN 目前总投资 53 亿元,占地 162亩,拥有净化厂房 8 万+射频、MEMS、存储器、指纹产品、TWS、汽车电子、MCU、电源管理等 昆山 晶圆级封装包括 TSV/WLCSP/FAN-OUT/ESINC;倒装封装包括FCSOT/FCDFN/FCQFN;凸块封装有COPPER PILLAR BUMPING 目前总投资 32 亿元,占地 110亩,拥有净化厂房 4 万+CMOS、Sensor、WLC 摄像模组、CSP 摄像模组、FPC、
90、镜头、lens、VCM、Holder 等 南京 基板封装包括 FBGA/LGA;倒装封装包括 FCCSP/FCLGA/ED-FCCSP/SiP 目前总投资 80 亿元,占地 500亩,拥有净化厂房 5.7 万+存储器、MEMS、人工智能等 Unisem Bumping/SiP/FC/MEMS 射频、汽车电子等 来源:华天科技官网,国金证券研究所 受行业景气度下行及终端库存影响,公司业绩整体承压。公司 2022 年营业收入 119.06 亿元,同比下降 1.58%;归母净利润 7.54 亿元,同比下降 46.74%。景气度低迷的情况延续到 23Q1,23Q1 公司实现营业收入 22.39 亿元,
91、同比下降 25.56%;归母净利润亏损 1.06 亿元,同比下降 151.43%。0%10%20%30%40%50%0500营业收入(亿元,左轴)YoY(%,右轴)-500%0%500%1000%1500%2000%0246810归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 28 图表图表5858:2 2022022 年年华天华天科技实现营收科技实现营收 119.06119.06 亿元,同比亿元,同比下降下降 1 1.58%.58%图表图表5959:2 2022022 年年华天华天科技实现归母净利润科技实现归母净利润 7.547.54
92、亿元,亿元,同比同比下降下降 46.74%46.74%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 甬矽电子:封测行业新秀,聚焦先进封装 公司是新锐半导体封测厂商,成立之初即聚焦先进封装领域。公司封装产品主要包括高密度细间距凸点倒装产品(FC 类产品)、系统级封装产品(SiP)、扁平无引脚封装产品(QFN/DFN)和微机电系统传感器(MEMS)四大类别,主要应用于射频前端芯片、AP 类 SoC芯片、触控芯片、WiFi 芯片、蓝牙芯片、MCU 等物联网芯片、电源管理芯片、计算类芯片、工业类和消费类等领域。公司全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid
93、-BGA、FC-LGA 等中高端先进封装形式,在 FC、SIP、QFN/DFN 等先进封装领域具有较为突出的封装技术优势和先进性。图表图表6060:甬矽电子主营产品及主要客户甬矽电子主营产品及主要客户 产品产品 类型类型 客户客户 应用应用 系统级封装产品 Hybrid-BGA、Hybrid-LGA、WB-BGA、WB-LGA 唯捷创芯、翱捷科技、晶晨股份、深圳飞骧、联发科、星晨科技 射频模块、CAT1 等蜂窝物联网用芯片、智能机顶盒、智能电视、智能家居等物联网用芯片、射频模块、电源管理芯片、配套 SoC 芯片、AP 类 SoC 芯片 扁平无引脚封装产品 QFN/DFN 星晨科技、联发科、富瀚
94、微、北京君正、恒玄科技 视讯影像芯片、电源管理、视讯控制所用芯片、蓝牙/WIFI 芯片 高密度细间距凸点倒装产品 BTC-LGA、FC-LGA、FC-CSP 宜芯微电子、昂瑞微、展讯通信、深圳飞骧、晶晨股份 数字货币矿机、2G/3G 射频 PA、多媒体智能、终端 SoC 芯片 微机电系统传感器 MEMS 鑫创科技 传感器、麦克风声音和降噪、心率监测、生物识别、消防安全等 来源:甬矽电子招股说明书,国金证券研究所 受行业周期下行及低稼动率影响,公司利润大幅下滑。公司 2022 年营业收入 21.77 亿元,同比增长 5.96%,归母净利润 1.38 亿元,同比下降 57.11%。景气度低迷的情况
95、延续到 23Q1,23Q1 公司实现营业收入 4.25 亿元,同比下降 26.85%;归母净利润亏损 0.50 亿元,同比下降 170.04%。-25%0%25%50%020406080100120营业收入(亿元,左轴)YoY(%,右轴)-200%-100%0%100%200%-202468101214归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 29 图表图表6161:2 2022022 年年甬矽电子甬矽电子实现营收实现营收 2121.7777 亿元,同比增亿元,同比增长长 5 5.9.96 6%图表图表6262:2 2022022 年年甬矽电子甬矽电子实
96、现归母净利润实现归母净利润 1 1.3838 亿元,亿元,同比同比下降下降 57.1157.11%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 公司产品均为中高端先进封装,并且在 SIP、QFN/DFN 等领域具有突出优势。SIP 产品是公司收入占比最大的业务,2022 年实现营收 12.25 亿元,营收占比 56.28%,毛利率为24.1%;QFN 产品 2022 年实现营收 6.32 亿元,占比为 29.02%,毛利率为 12.0%;FC 产品毛利率水平较高,2022 年实现营收 2.92 亿元,占比为 13.42%,毛利率达 31.5%。图表图表6363:甬矽电子甬矽电
97、子先进封装产品营收拆分先进封装产品营收拆分(百万元)(百万元)来源:Wind,国金证券研究所 晶方科技:大陆晶圆级封测龙头 公司布局晶圆级封测,公司具备 8 英寸和 12 英寸晶圆级芯片尺寸封装(WLCSP)技术的规模量产能力,下游产品主要包括 CIS 芯片、TOF 芯片、生物身份识别芯片、MEMS 芯片等,广泛应用在手机、安防监控、身份识别、汽车电子、3D 传感等电子领域。目前,公司主要客户有豪威、格科微、索尼、晶相光电、思特威等。2022 年受行业周期下行的影响,公司主营业务影像传感器市场需求疲软,公司营收及利润大幅下滑。公司 2022 年实现营收11.06 亿元,同比下降 21.62%;
98、实现归母净利润 2.28 亿元,同比下降 60.45%。2023 年 Q1实现营收 2.23 亿元,同比下降 26.85%;实现归母净 0.29 亿元,同比下降 68.92%。-100%100%300%500%700%900%05820022 2023Q1营业收入(亿元,左轴)YoY(%,右轴)-200%100%400%700%1000%1300%-0.50.51.52.53.5200212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)05001,0001,5002,0002,5002002
99、2系统级封装产品扁平无引脚封装产品高密度细间距凸点倒装产品微机电系统传感器其他行业深度研究 敬请参阅最后一页特别声明 30 图表图表6464:2 2022022 年晶方科技实现营收年晶方科技实现营收 1111.0606 亿元,同比下亿元,同比下降降 2 21.62%1.62%图表图表6565:2 2022022 年晶方科技实现归母净利润年晶方科技实现归母净利润 2 2.2828 亿元,亿元,同比下降同比下降 60.4560.45%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 4.24.2、先进封装先进封装设备设备 先进封装所需半导体设备涉及前道设备(刻蚀机、光刻机、PVD/C
100、VD、涂胶显影设备、清洗设备等)、后道封装设备(磨片机、划片机、固晶机、键合机、塑封设备等)。建议积极关注华海清科、芯碁微装、芯源微、新益昌、奥特维、大族激光、光力科技、耐科装备等公司。图表图表6666:国内外国内外先进封装涉及前道及后道设备先进封装涉及前道及后道设备厂商厂商梳理梳理 工序工序 设备设备 国际厂商国际厂商 国内厂商国内厂商 前道 刻蚀机 泛林、应用材料、东京电子 北方华创、中微半导体、北京屹唐 光刻机 阿斯麦、尼康、佳能 上海微电子、芯碁微装 PVD/CVD 泛林、应用材料、东京电子、科意半导体、先晶半导体 北方华创、拓荆科技、盛美上海 清洗设备 泛林、东京电子、迪恩士、细美事
101、 盛美上海、至纯科技、芯源微 涂胶显影 东京电子、细美事 芯源微、盛美上海 后道 圆片减薄机 Disco、东京精密、冈本工机 中电科、华海清科、兰新高科、深圳方达 划片机 Disco、东京精密、JPSA、Synova 大族激光、德龙激光、中电科、兰新高科、汇盛电子、江苏京创、光力科技 固晶机 K&S、ASM、BESI 新益昌、艾克瑞思、东莞普莱信 引线键合机 K&S、ASM、BESI、Shinkawa 中电科、奥特维、新益昌、北京创世杰 塑封机 TOWA、BESI、Yamada、ASM 耐科装备 来源:国金证券研究所 华海清科:国产 CMP 设备龙头 公司是国产 CMP 设备制造的突破者。20
102、13 年,华海清科由清华大学和天津市政府合资成立,并于 2014 年研制出了国内首台 12 英寸 CMP 设备。CMP 设备可实现晶圆或硅片表面纳米级的全局平坦化,是先进封装后道工序的关键工艺设备。公司自成立以来一直专注于CMP 设备工艺技术及配套材料的研发,是目前国内少数能提供 12 英寸 CMP 高端半导体设备的制造商。-40%-20%0%20%40%60%80%100%059202020212022 2023Q1营业收入(亿元,左轴)YoY(%,右轴)-100%-50%0%50%100%150%200%250%300%0201920202021
103、20222023Q1归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 31 图表图表6767:2 2022022 年年华海清科华海清科实现营收实现营收 1 16 6.4949 亿元,同比亿元,同比增增长长 1 10404.8686%图表图表6868:2 2022022 年年华海清科华海清科实现归母净利润实现归母净利润 5 5.0 02 2 亿元,亿元,同比同比增长增长 152152.9898%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 核心产品持续放量,推动营收快速增长。自 2018 年 CMP 产品实现量产以来,公司营业收入持续增长,202
104、2 年实现总营收 16.49 亿元,2018 年-2022 年营收 CAGR 达 160.15%,随着经营规模的不断扩张,公司于 2020 年扭亏为盈,2022 年归母净利润达 5.02 亿元,同比增长 152.98%。作为公司核心产品,CMP 设备 2022 年营收 14.31 亿元,占总营收 86.77%;2019 年-2022 年,CMP 设备业务毛利率由 30.16%上升至 47.65%,盈利能力显著提升。图表图表6969:华海清科华海清科 C CMPMP 设备业务营收占比高,毛利率持续增长设备业务营收占比高,毛利率持续增长 来源:Wind,国金证券研究所 芯碁微装:深耕直写光刻设备,
105、泛半导体业务助力成长 公司是国内直写光刻设备领军企业,深耕泛半导体直写光刻设备与 PCB 直接曝光设备领域。公司一直致力于优化 PCB 曝光设备性能,产品市占率逐步提升。另外公司还积极拓展业务版图,相继推出了用于 IC 载板、先进封装、光伏电池曝光等领域的泛半导体直写光刻设备,泛半导体业务成为公司的第二成长曲线。新老业务的齐头并进,公司收入规模持续增长。2022 年公司实现营收 6.52 亿元,2018 年-2022 年营收 CAGR 达 65.46%,2023 年 Q1 实现营收 1.57 亿元,同比增长 50.29%;其中,PCB 业务和泛半导体业务 2022 年分别实现营收 5.27 亿
106、元和 0.96 亿元,占比 80.78%和14.66%,毛利率分别为 37.90%和 65.08%。2022 年和 2023 年 Q1,公司分别实现净利润 1.37亿元和 0.34 亿元,同比增长 28.66%和 70.32%。0%100%200%300%400%500%03690022 2023Q1营业收入(亿元,左轴)YoY(%,右轴)-400%-200%0%200%-2-8200222023Q1归母净利润(亿元,左轴)YoY(%,右轴)0%10%20%30%40%50%60%0369121518201
107、9202020212022CMP设备(亿元,左轴)其他业务(亿元,左轴)CMP设备毛利率(%,右轴)其他业务毛利率(%,右轴)行业深度研究 敬请参阅最后一页特别声明 32 图表图表7070:2 2022022 年年芯芯碁碁微装微装实现营收实现营收 6 6.5252 亿元,同比增亿元,同比增长长 3232.5151%图表图表7171:2 2022022 年年芯芯碁碁微装微装实现归母净利润实现归母净利润 1 1.3737 亿元,亿元,同比增长同比增长 2828.6666%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 图表图表7272:芯芯碁碁微装主营业务收入稳步增长微装主营业务收
108、入稳步增长 来源:Wind,国金证券研究所 芯源微:涂胶显影机打破国际垄断,国内市场空间广阔 公司是国内少有的涂胶显影设备厂商。根据中商产业研究院 2022 年数据,中国大陆的涂胶显影设备市场被国外厂商高度垄断,日本东京电子市占率达 91%,而公司市占率仅为 5%,大陆其他厂商市占率合计 4%。公司涂胶显影业务起步较早,技术处于国内领先的地位,主要产品有光刻工序涂胶显影设备(涂胶显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于 12 英寸、8 英寸、6 英寸及以下的单晶圆处理。公司营收保持高速增长,涂胶显影机技术优势明显。2022 年,公司实现营收 13.85 亿元,同比
109、增长 67.12%,2018 年-2022 年营收 CAGR 达 60.25%;2022 年实现净利润 2.00 亿元,同比增长 158.77%,2018 年-2022 年净利润 CAGR 达 60.69%。作为公司的主营业务,光刻工序涂胶显影设备营收规模稳步增长,2022 年实现营收 7.57 亿元,毛利率为 34.65%,2018 年-2022 年营收 CAGR 为 55.64%,五年营收贡献均维持在 50%以上。0%50%100%150%0200222023Q1营业收入(亿元,左轴)YoY(%,右轴)0%50%100%150%200%00.40
110、.81.21.6200212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)0%10%20%30%40%50%60%70%80%020022PCB收入(左轴,亿元)泛半导体收入(左轴,亿元)PCB毛利率(%)泛半导体毛利率(%,右轴)行业深度研究 敬请参阅最后一页特别声明 33 图表图表7373:2 2022022 年年芯源微芯源微实现营收实现营收 1 13 3.8585 亿元,同比增长亿元,同比增长6767.1212%图表图表7474:2 2022022 年年芯源微芯源微实现归母净利润实现归母净利润 2 2.0
111、00 0 亿元,同亿元,同比增长比增长 15158 8.7777%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 图表图表7575:芯源微芯源微光刻工序涂胶显影设备光刻工序涂胶显影设备营收营收稳步稳步增长,收入贡献过半增长,收入贡献过半 来源:Wind,国金证券研究所 新益昌:固晶设备龙头,LED 及半导体共同驱动业绩成长 公司是深耕固晶设备领域,是国内 LED 固晶机和电容器老化测试智能制造装备领域的领先企业。公司成立于 2006 年,经过多年的技术研发和积累,不断拓展业务版图,相继推出半导体固晶机和 Mini LED 固晶机,成为国际固晶机领域的龙头厂商。公司部分智能制造装
112、备产品核心零部件已实现自研自产,是国内少有的具备核心零部件自研自产能力的智能制造装备企业。公司与海内外优质客户合作紧密,主要客户包括晶导微、灿瑞科技、通富微电、国星光电、三安光电、鸿利智汇、瑞丰光电、雷曼光电、三星、亿光电子等。受行业周期影响,公司业绩承压。2022 年,公司实现营收 11.84 亿元,同比下降 1.08%,实现净利润 2.05 亿元,同比下降 11.76%。公司的 LED 固晶机和电容器设备为营收主要来源,产品毛利率水平较高。2022 年,LED 封装设备实现营收 9.09 亿元,营收占比达 76.78%,毛利率 45.05%,电容器设备实现营收 2.24 亿元,营收占比 1
113、8.92%,毛利率 36.20%。0%40%80%120%160%0489202020212022 2023Q1营业收入(亿元,左轴)YoY(%,右轴)-20%20%60%100%140%180%00.511.522.5200212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)0%20%40%60%80%0820022收入(亿元,左轴)业务收入比例(%,右轴)行业深度研究 敬请参阅最后一页特别声明 34 图表图表7676:2 2022022 年年新益昌新益昌实现营收实现营收 1111.848
114、4 亿元,同比下降亿元,同比下降1.1.0808%图表图表7777:2 2022022 年年新益昌新益昌实现归母净利润实现归母净利润 2 2.0505 亿元,同亿元,同比下降比下降 1 11 1.7676%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 奥特维:光伏串焊机领军者,多维布局半导体封测设备 公司是光伏组件串焊机设备的龙头厂商。公司 2013 年以串焊机起步,同时横向布局锂电设备和半导体设备领域。公司产品主要应用于光伏行业、锂电行业、半导体行业封测环节,主要包括:光伏设备:大尺寸超高速多主栅串焊机、大尺寸超高速硅片分选机、激光划片机、丝网印刷线、光注入退火炉、单晶炉等
115、;锂电设备:圆柱电芯外观检测、动力(储能)模组 PACK 线等;半导体封测设备:铝线键合机。公司成长曲线多元,营收及净利润持续高速增长。2022 年及 2023 年 Q1,公司实现营收35.40亿元和10.39亿元,同比增长72.94%和66.27%,2018年-2022年营收CAGR达56.77%;2022 年及 2023 年 Q1,公司实现归母净利润 7.13 亿元和 2.21 亿元,同比增长 92.25%和107.42%。图表图表7878:2 2022022 年年奥特维奥特维实现营收实现营收 3535.4 40 0 亿元,同比下降亿元,同比下降7 72 2.9494%图表图表7979:2
116、 2022022 年年奥特维奥特维实现归母净利润实现归母净利润 7 7.1313 亿元,同亿元,同比比增长增长 9 92 2.2 25 5%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 大族激光:激光设备龙头企业,多元化业务布局初见成效 公司是全球领先的激光设备厂商,产品全面覆盖激光工业应用。公司于 1996 年成立,经过二十余年的技术积累,具备了从基础器件、整机设备到工艺解决方案的垂直一体化能力,是全球领先的智能制造装备整体解决方案提供商。公司业务包含信息产业、新能源、半导体和通用工业激光加工四大板块,主要设备及产品有:消费电子设备:主要产品为专用激光打标设备、激光焊接设备
117、、激光钻孔设备、防水气密性检测设备、CNC 数控机床等,用于手机、笔记本电脑、智能手表等消费电子产-10%10%30%50%70%0246800222023Q1营业收入(亿元,左轴)YoY(%,右轴)-20%10%40%70%100%130%0022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)0%20%40%60%80%08200222023Q1营业收入(亿元,左轴)YoY(%,右轴)0%30%60%90%120%150%024682018201920
118、2020212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 35 品的生产加工环节。PCB 设备:主要产品为钻孔设备、激光直接成像设备、成型设备以及检测设备等,面向钻孔、曝光、成型、检测等 PCB 生产的关键工序。锂电设备:主要产品为匀浆、搅拌、涂布、辊压、模切、分切、卷绕/叠片、电芯组装、烘烤、注液、化成分容等加工设备及自动化生产线,用于锂电池电芯、模组、PACK段的生产加工环节。光伏设备:主要产品在光伏电池及组件环节,包括 topcon 电池生产主设备:激光硼掺杂设备、PECVD(等离子增 强气相沉积设备)、LPCVD(低压化学气相沉
119、积设备)、扩散炉、氧化炉、退火炉,以及组件段的无损划片机、划焊一体机等。半导体设备:主要产品为激光表切、全切设备,激光内部改质切割设备以及刀轮切割设备等前道晶圆切割设备;焊线设备、固晶设备、测试编带设备等后道封测设备以及晶圆自动化传输设备,用于半导体及 LED、显示面板等泛半导体的生产加工环节。通用元件及行业普及产品:紫外及超快激光器、高功率光纤激光器、中低功率 CO2 激光器、脉冲光纤激光器、通用运动控制系统、振镜、伺服电机等工业激光加工设备及自动化设备的关键器件。受消费电子及通用制造行业需求疲软影响,公司业绩短期承压。2022 年及 2023 年 Q1,公司实现营收 149.61 亿元和
120、24.25 亿元,同比下降 8.40%和 28.55%;归母净利润分别为12.10 亿元和 1.42 亿元,同比下降 39.35%和 57.15%。展望 2023 年,随着消费电子及 PCB设备下游需求企稳及公司产品的迭代更新,业绩或将修复;2023 年公司多款第三代半导体技术产品有望放量,半导体设备业务有望迎来新一轮高速增长。图表图表8080:2 2022022 年大族激光实现营收年大族激光实现营收 149149.6161 亿元,同比亿元,同比下降下降 8.40%8.40%图表图表8181:2 2022022 年大族激光实现归母净利润年大族激光实现归母净利润 1 12 2.1010 亿元,亿
121、元,同比下降同比下降 39.3539.35%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 光力科技:双核心业务板块协同发展,持续完善产品线布局 公司是全球排名前三的半导体切割划片装备企业和国内领先的半导体封测设备及关键零部件企业。公司自 2015 年上市以来持续并购世界优质半导体设备及高端零部件企业,迅速扩展半导体封测设备市场,形成半导体封测装备业务及物联网安全生产监控装备两大核心业务板块的布局。在现在设备的基础上产品不断迭代升级,相继推出研磨机、全自动数字化智能钻机等设备。目前公司主要产品有:半导体切割设备:全自动双轴晶圆切割划片机、半自动双轴晶圆切割划片机、用于第三代半导
122、体切割的 6 英寸半自动单轴切割划片机等;核心零部件:高性能高精度空气主轴;刀片:软刀、硬刀;物联网安全生产监控装备:基于物联网的数字化智能钻机。公司营业收入持续增长,主营业务毛利率水平稳定。2022 年及 2023 年 Q1,公司分别实现营收 6.14 亿元和 1.43 亿元,同比增长 15.89%和 19.16%;2022 年归母净利润为 0.65 亿元,同比下降 44.56%,23 年 Q1 净利润 0.22 亿元,同比增长 8.62%。2022 年,公司主营业务半导体封测及安全监控分别实现 3.24 亿元和 2.91 亿元营收,毛利率分别为 42.13%-40%-20%0%20%40%
123、03060900212022 2023Q1营业收入(亿元,左轴)YoY(%,右轴)-80%-40%0%40%80%120%05820022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 36 和 65.71%。图表图表8282:2 2022022 年光力科技实现营收年光力科技实现营收 6 6.1414 亿元,同比增亿元,同比增长长 1 15.89%5.89%图表图表8383:2 2022022 年光力科技实现归母净利润年光力科技实现归母净利润 0 0.6565
124、 亿元,亿元,同比下降同比下降 44.5644.56%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 耐科装备:塑料挤出装备龙头,封装设备业务发展迅猛 公司是国产塑料挤出装备的龙头厂商,封装设备领域技术逐步与国际接轨。公司成立之初以塑料挤出成型设备为主营业务,2014 年切入半导体封装设备领域后,相继开拓了通富微电、华天科技、长电科技等国内头部封装企业客户,进入发展快车道。公司的主要产品有:塑料挤出成型模具和装置:模头、定型模、冷却水箱和定型块、后共挤装置;塑料挤出成型下游设备:定型台、牵引切割机;半导体封装模具:切筋成型模具、MGP 模具;半导体封装设备:全自动封装设备、模块
125、组合式全自动切筋成型设备、一体式自动切筋成型设备、塑料封装压机。半导体业务持续发力,公司业绩保持高增长。2022 年,公司实现营收 2.69 亿元,同比增长 8.19%,2018 年-2022 年,营收 CAGR 达 43.75%;2022 年,公司实现净利润 0.57 亿元,2018 年-2022 年,净利润 CAGR 达 58.64%。自 2019 年公司半导体全自动封装设备 NTAMS120和全自动切筋成型设备问世,公司半导体封装设备及模具业务营收贡献逐年增长,2022 年实现营收 1.63 亿元,占比达 60.58%。图表图表8484:2 2022022 年年耐科装备实耐科装备实现营收
126、现营收 2 2.6 69 9 亿元,同比增亿元,同比增长长 8 8.1919%图表图表8585:2 2022022 年耐科装备实现归母净利润年耐科装备实现归母净利润 0 0.5757 亿元,亿元,同比增长同比增长 7.687.68%来源:Wind,国金证券研究所 来源:Wind,国金证券研究所 半导体行业景气度复苏不及预期的风险:当前下游整体需求表现为弱复苏阶段,若需求回0%20%40%60%80%0200222023Q1营业收入(亿元,左轴)YoY(%,右轴)-50%-25%0%25%50%75%100%00.511.5200
127、212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)-20%0%20%40%60%80%100%02020202120222023Q1营业收入(亿元,左轴)YoY(%,右轴)0%50%100%150%200%250%00.20.40.6200212022 2023Q1归母净利润(亿元,左轴)YoY(%,右轴)行业深度研究 敬请参阅最后一页特别声明 37 暖进度低于预期,会对封测行业业绩产生不利影响。市场竞争加剧的风险:除封测厂外,晶圆厂也在开发相关先进封装技术,可能会使封测行业竞争加剧,进而产生不利影响。先进封装市场规模增长不达预期的
128、风险:如若先进制程成本降低较快,先进封装的经济效能将会下降,进而导致先进封装技术未能如预期实现高渗透率,市场规模将不及预期。行业深度研究 敬请参阅最后一页特别声明 38 行业行业投资评级的说明:投资评级的说明:买入:预期未来 36 个月内该行业上涨幅度超过大盘在 15%以上;增持:预期未来 36 个月内该行业上涨幅度超过大盘在 5%15%;中性:预期未来 36 个月内该行业变动幅度相对大盘在-5%5%;减持:预期未来 36 个月内该行业下跌幅度超过大盘在 5%以上。行业深度研究 敬请参阅最后一页特别声明 39 特别声明:特别声明:国金证券股份有限公司经中国证券监督管理委员会批准,已具备证券投资
129、咨询业务资格。本报告版权归“国金证券股份有限公司”(以下简称“国金证券”)所有,未经事先书面授权,任何机构和个人均不得以任何方式对本报告的任何部分制作任何形式的复制、转发、转载、引用、修改、仿制、刊发,或以任何侵犯本公司版权的其他方式使用。经过书面授权的引用、刊发,需注明出处为“国金证券股份有限公司”,且不得对本报告进行任何有悖原意的删节和修改。本报告的产生基于国金证券及其研究人员认为可信的公开资料或实地调研资料,但国金证券及其研究人员对这些信息的准确性和完整性不作任何保证。本报告反映撰写研究人员的不同设想、见解及分析方法,故本报告所载观点可能与其他类似研究报告的观点及市场实际情况不一致,国金
130、证券不对使用本报告所包含的材料产生的任何直接或间接损失或与此有关的其他任何损失承担任何责任。且本报告中的资料、意见、预测均反映报告初次公开发布时的判断,在不作事先通知的情况下,可能会随时调整,亦可因使用不同假设和标准、采用不同观点和分析方法而与国金证券其它业务部门、单位或附属机构在制作类似的其他材料时所给出的意见不同或者相反。本报告仅为参考之用,在任何地区均不应被视为买卖任何证券、金融工具的要约或要约邀请。本报告提及的任何证券或金融工具均可能含有重大的风险,可能不易变卖以及不适合所有投资者。本报告所提及的证券或金融工具的价格、价值及收益可能会受汇率影响而波动。过往的业绩并不能代表未来的表现。客
131、户应当考虑到国金证券存在可能影响本报告客观性的利益冲突,而不应视本报告为作出投资决策的唯一因素。证券研究报告是用于服务具备专业知识的投资者和投资顾问的专业产品,使用时必须经专业人士进行解读。国金证券建议获取报告人员应考虑本报告的任何意见或建议是否符合其特定状况,以及(若有必要)咨询独立投资顾问。报告本身、报告中的信息或所表达意见也不构成投资、法律、会计或税务的最终操作建议,国金证券不就报告中的内容对最终操作建议做出任何担保,在任何时候均不构成对任何人的个人推荐。在法律允许的情况下,国金证券的关联机构可能会持有报告中涉及的公司所发行的证券并进行交易,并可能为这些公司正在提供或争取提供多种金融服务
132、。本报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布该研究报告的人员。国金证券并不因收件人收到本报告而视其为国金证券的客户。本报告对于收件人而言属高度机密,只有符合条件的收件人才能使用。根据证券期货投资者适当性管理办法,本报告仅供国金证券股份有限公司客户中风险评级高于 C3 级(含 C3 级)的投资者使用;本报告所包含的观点及建议并未考虑个别客户的特殊状况、目标或需要,不应被视为对特定客户关于特定证券或金融工具的建议或策略。对于本报告中提及的任何证券或金融工具,本报告的收件人须保持自身的独立判断。使用国金证券研究报告进行投资,遭受任何损失,国金证券不承担相关法律责任。若国金证
133、券以外的任何机构或个人发送本报告,则由该机构或个人为此发送行为承担全部责任。本报告不构成国金证券向发送本报告机构或个人的收件人提供投资建议,国金证券不为此承担任何责任。此报告仅限于中国境内使用。国金证券版权所有,保留一切权利。上海上海 北京北京 深圳深圳 电话: 传真: 邮箱: 邮编:201204 地址:上海浦东新区芳甸路 1088 号 紫竹国际大厦 7 楼 电话: 邮箱: 邮编:100005 地址:北京市东城区建内大街 26 号 新闻大厦 8 层南侧 电话: 传真: 邮箱: 邮编:518000 地址:深圳市福田区金田路 2028 号皇岗商务中心 18 楼 1806