上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

长电科技-公司研究报告-逆周期稳健增长先进封装注入发展新动能-230410(19页).pdf

编号:121748 PDF 19页 1.03MB 下载积分:VIP专享
下载报告请您先登录!

长电科技-公司研究报告-逆周期稳健增长先进封装注入发展新动能-230410(19页).pdf

1、 市场有风险,投资需谨慎 请务必阅读正文之后的免责条款部分 证券研究报告:电子|公司深度报告 2023 年 4 月 10 日 股票股票投资评级投资评级 买入买入|首次覆盖首次覆盖 个股表现个股表现 资料来源:聚源,中邮证券研究所 公司基本情况公司基本情况 最新收盘价(元)最新收盘价(元)35.60 总股本总股本/流通股本(亿股)流通股本(亿股)17.80/17.80 总市值总市值/流通市值(亿元)流通市值(亿元)634/634 52 周内最高周内最高/最低价最低价 37.67/19.95 资产负债率资产负债率(%)37.5%市盈率市盈率 19.56 第一大股东第一大股东 国家集成电路产业投资基

2、金股份有限公司 持股比例持股比例(%)13.3%研究所研究所 分析师:王达婷 SAC 登记编号:S06 Email: 长电科技长电科技(600584600584)逆周期稳健增长逆周期稳健增长,先进封装注入发展新动能先进封装注入发展新动能 投资要点投资要点 营收持续增长,盈利能力大幅提升,封测龙头营收持续增长,盈利能力大幅提升,封测龙头步入发展新阶段。步入发展新阶段。公司是全球排名前三的集成电路封测企业,股东背景强大,管理团队实力雄厚,第一大股东国家集成电路产业基金持有公司 13.31%的股权,第二大股东芯电半导体持有公司 12.86%股权。2020 年以来,得益于海内外制

3、造基地资源的深化整合、运营管理效率提升、产品结构的优化和财务结构改善,公司营收保持稳定增长趋势,盈利能力大幅提升,步入发展新阶段。2022 年,尽管半导体行业处于严峻的景气下行阶段,但公司实现营收 337.62 亿元,同比增长 10.69%,实现归母净利润为 32.31 亿元,同比增长 9.20%,表现出较强的经营稳健性。先进封装成为提升芯片性能的重要先进封装成为提升芯片性能的重要途径途径,注入发展新动能,注入发展新动能。随着工艺制程演进到 5nm、3nm 节点,工艺制程的推进越来越难,同时由于集成度过高,功耗密度越来越大,供电和散热也面临着巨大的挑战,Chiplet 技术成为提高集成度和芯片

4、算力成为重要途径。工业界已有多个基于 Chiplet 的产品面市,Chiplet 的应用将带来封测环节价值量的提升,而公司在先进封装技术方面布局全面,技术领先,有望受益。目前,公司 XDFOI Chiplet 高密度多维异构集成系列工艺已进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货。投资建议投资建议 预计公司2023-2025年营收分别为335.36/382.74/440.56亿元,归 母 净 利 润 分 别 为 31.05/38.35/44.03 亿 元,EPS 分 别 为1.74/2.15/2.47 元,当前股价对应 PE 分别为 20/17/14 倍,首次覆

5、盖,给予“买入”评级。风险提示风险提示 半导体行业景气度持续下滑;行业竞争加剧;客户订单不及预期。盈利预测和财务指标盈利预测和财务指标 项目项目 年度年度 2022A2022A 2023E2023E 2024E2024E 2025E2025E 营业收入(百万元)33762 33536 38274 44056 增长率(%)10.69-0.67 14.13 15.11 EBITDA(百万元)7029.24 7069.47 8116.00 8992.60 归属母公司净利润(百万元)3230.99 3104.84 3834.90 4403.07 增长率(%)9.20-3.90 23.51 14.82

6、EPS(元/股)1.82 1.74 2.15 2.47 市盈率(P/E)19.61 20.40 16.52 14.39 市净率(P/B)2.57 2.28 2.00 1.75 EV/EBITDA 6.56 9.28 7.71 6.39 资料来源:公司公告,中邮证券研究所 -14%-6%2%10%18%26%34%42%50%58%66%---04长电科技电子 请务必阅读正文之后的免责条款部分 2 目录目录 1 1 公司概况:全球领先的集成电路封测企业,步入发展新阶段公司概况:全球领先的集成电路封测企业,步入发展新阶段 .

7、4 4 1.1 1.1 历史沿革:全球封测行业前三,技术水平一流历史沿革:全球封测行业前三,技术水平一流 .4 4 1.2 1.2 股权结构:股东背景强大,领导团队实力雄厚股权结构:股东背景强大,领导团队实力雄厚 .4 4 1.3 1.3 财务分析:盈利能力大幅提升,步入发展新阶段财务分析:盈利能力大幅提升,步入发展新阶段 .5 5 2 2 行业概况:后摩尔时代,先进封装需求突显行业概况:后摩尔时代,先进封装需求突显 .7 7 2.1 2.1 国内封测产业国内封测产业全球位居前列,全球位居前列,市场规模持续增长市场规模持续增长 .7 7 2.2 2.2 先进封装助力芯片性能提升先进封装助力芯片

8、性能提升 .1010 3 3 封测技术布局全面,封测技术布局全面,ChiChipletplet 封装稳定量产封装稳定量产 .1313 3.1 3.1 封装技术业内领先,封装技术业内领先,ChipletChiplet 稳定量产稳定量产 .1313 3.23.2 聚焦关键应用领域,提供高端定制化封装测试解决方案和配套产能聚焦关键应用领域,提供高端定制化封装测试解决方案和配套产能 .1414 4 4 盈利预测与投资建议盈利预测与投资建议 .1616 5 5 风险提示风险提示 .1616 5X8VlYiYeYmVqZsXtW7NbP8OnPpPtRsRfQmMsOfQmNmO7NrRzRvPpMpQu

9、OmMrQ 请务必阅读正文之后的免责条款部分 3 图表目录图表目录 图表图表 1 1:公司发展历程公司发展历程 .4 4 图表图表 2 2:公司股权结构公司股权结构 .5 5 图表图表 3 3:公司公司 20 营业收入及增速营业收入及增速 .5 5 图表图表 4 4:公司公司 20 年归母净利润及增速年归母净利润及增速 .5 5 图表图表 5 5:公司销售毛利率公司销售毛利率 .6 6 图表图表 6 6:公司各项费用率公司各项费用率 .6 6 图表图表 7 7:公司每股收益公司每股收益 .6 6 图表图表 8 8:公司净资产收益率公司

10、净资产收益率 .6 6 图表图表 9 9:公司资产负债率公司资产负债率 .7 7 图表图表 1010:公司营业周期公司营业周期 .7 7 图表图表 1111:公司流动比率公司流动比率 .7 7 图表图表 1212:公司速动比率公司速动比率 .7 7 图表图表 1313:集成电路产业链集成电路产业链 .8 8 图表图表 1414:集成电路封装技术发展历程集成电路封装技术发展历程 .8 8 图表图表 1515:中国集成电路封测产业规模及增速中国集成电路封测产业规模及增速 .9 9 图表图表 1616:20222022 年全球前十大集成电路封装测试企业年全球前十大集成电路封装测试企业 .9 9 图表

11、图表 1717:20222022 年全球委外封测市场占有率年全球委外封测市场占有率 .1010 图表图表 1818:20 年先进封装和传统封装占比年先进封装和传统封装占比 .1111 图表图表 1919:先进封装的技术发展方向先进封装的技术发展方向 .1111 图表图表 2020:主要先进封装工艺分类主要先进封装工艺分类 .1212 图表图表 2121:ChipletChiplet 技术与技术与 SOCSOC、SIPSIP 技术比较技术比较 .1212 图表图表 2222:公司的核心封装技术公司的核心封装技术 .1313 图表图表 2323:公司产品应用领域公司产

12、品应用领域 .1515 图表图表 2424:公司公司 20 年营收预测(亿元)年营收预测(亿元).1616 图表图表 2525:公司与同业公司估值比较公司与同业公司估值比较 .1616 请务必阅读正文之后的免责条款部分 4 1 1 公司概况:公司概况:全球领先的集成电路全球领先的集成电路封测封测企业企业,步入发展新阶段,步入发展新阶段 1.1 1.1 历史沿革:全球封测行业前三,技术水平一流历史沿革:全球封测行业前三,技术水平一流 全球领先的集成电路封测企业。全球领先的集成电路封测企业。长电科技成立于 1972 年,是全球领先的集成电路制造和技术服务企业,在中国、

13、韩国和新加坡设有六大生产基地和两大研发中心,在 20 多个国地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。公司拥有一流的芯片成品制造技术与研发实力,核心知识产权覆盖全线封测领域,拥有3000多项专利和近6000名工程师,可提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。公司的产品、服务和技术涵盖了主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。图表图表1 1:公司发

14、展历程公司发展历程 资料来源:公司官网,中邮证券研究所 1.2 1.2 股权结构:股权结构:股东背景强大,领导团队实力股东背景强大,领导团队实力雄厚雄厚 大基金为公司第一大股东,芯电半导体为公司第二大股东。大基金为公司第一大股东,芯电半导体为公司第二大股东。根据公司最新披露,公司的第一大股东为国家集成电路产业投资基金股份有限公司,持有公司 13.31%的股权,第二大股东为芯电半导体(上海)有限公司,持有公司 12.86%股权。芯电半导体为中芯国际间接全资子公司。公司 CEO 郑力先生是集成电路产业领域的资深专业人士,在美国、日本、欧洲和中国的集成电路产业拥有近 30 年的工作经验,曾担任恩智浦

15、全球高级副总裁兼大中华区总裁,瑞萨电子大中华区 CEO 等高级管理职务。公司 CTO 李春兴博士在半导体领域拥有 20 多年的工作经验,曾任 Amkor Technology 首席技术官、全球制造业务执行副总裁和 Amkor 韩国总裁。请务必阅读正文之后的免责条款部分 5 图表图表2 2:公司股权结构公司股权结构 资料来源:公司公告,中邮证券研究所 1.3 1.3 财务分析:盈利能力大幅提升,步入发展新阶段财务分析:盈利能力大幅提升,步入发展新阶段 营业收入稳定增长,归母净利润持续提升。营业收入稳定增长,归母净利润持续提升。2019 年至 2022 年,公司营收分别为 235.3 亿元、264

16、.6 亿元、305.0 亿元和 337.6 亿元,同比增速分别为-1.38%、12.49%、15.26%、10.69%;归母净利润分别为 0.9 亿元、13.0 亿元、29.6 亿元和 32.3 亿元,同比增速分别为 109.44%、1371.17%、126.83%、9.20%。2020 年以来,得益于公司海内外制造基地资源的深化整合、运营管理效率提升、产品结构的优化和财务结构改善,公司营收保持稳定增长趋势,盈利能力大幅提升,步入发展的新阶段。2022 年,尽管半导体行业处于严峻的景气下行阶段,但公司全年实现营业收入为 337.62 亿元,同比增长 10.69%,实现归母净利润为 32.31

17、亿元,同比增长9.20%,表现出较强的经营稳健性。图表图表3 3:公司公司 2 2 营业收入及增速营业收入及增速 图表图表4 4:公司公司 2 2 年归母净利润及增速年归母净利润及增速 资料来源:iFinD,中邮证券研究所 资料来源:iFinD,中邮证券研究所 20 盈利能力大幅提升,盈利能力大幅提升,费用率费用率持续持续优化。优化。2019-2022 年,公司毛利率从 11.18%升至 17.04%,销售净利率从 0.41%升至 9.57%。同期,公司销售费用率从 1.13%降至 0.55%;管理费用率

18、从 4.44%降至 2.67%;财务费用率从 3.70%降至 0.37%。235.3 264.6 305.0 337.6-5%0%5%10%15%20%050030035040020022营业收入(亿元)同比增长率0.9 13.0 29.6 32.3 0%300%600%900%1200%1500%05520022归母净利润(亿元)同比增长率 请务必阅读正文之后的免责条款部分 6 图表图表5 5:公司销售毛利率公司销售毛利率 图表图表6 6:公司各项费用率公司各项费用率 资料来源:iFinD,中邮证券研究所

19、 资料来源:iFinD,中邮证券研究所 20 期间期间 E EPSPS 和和 R RO OE E 持续稳定上升。持续稳定上升。每股收益(基本)由 2019 年的 0.06 元上升至2022 年 1.82 元,净资产收益率由 2019 年的 0.7%升至 2022 年 13.11%。主要是由于公司营业收入持续上升,公司把握新能源和汽车类市场热点、加速产品结构从消费类向汽车电子、工业控制类应用结构优化的战略布局。图表图表7 7:公司每股收益公司每股收益 图表图表8 8:公司净资产收益率公司净资产收益率 资料来源:iFinD,中邮证券研究所 资料来源:iFinD,中邮证

20、券研究所 资产负债率不断降低,资本结构资产负债率不断降低,资本结构持续持续优化。优化。公司不断优化公司资产负债结构,资产负债率由 2019 年 62.37%降至 2022 的 37.47%,公司财务杠杆和股东风险降低。公司营业周期稳定在100 天以内,营运周期稳定,营运能力较强。0%5%10%15%20%20022销售毛利率销售净利率0%1%2%3%4%5%20022研发费用率销售费用率管理费用率财务费用率(1.0)(0.5)0.00.51.01.52.020022每股收益-基本(元)每股收益-扣除基本(元)0%2%4%6%8%

21、10%12%14%16%20022净资产收益率-摊薄 请务必阅读正文之后的免责条款部分 7 图表图表9 9:公司资产负债率公司资产负债率 图表图表1010:公司营业周期公司营业周期 资料来源:iFinD,中邮证券研究所 资料来源:iFinD,中邮证券研究所 公司短期偿债能力良好。公司短期偿债能力良好。从短期偿债能力上看,公司流动比率和速动比率逐年上升,速动比率在 2022 年大于 1,显示公司的流动性指标均正常,短期偿债能力良好。图表图表1111:公司流动比率公司流动比率 图表图表1212:公司速动比率公司速动比率 资料来源:iFinD,中邮证券研究所 资料来源:iFin

22、D,中邮证券研究所 2 2 行业概况:后摩尔时代,先进封装需求突显行业概况:后摩尔时代,先进封装需求突显 2.1 2.1 国内封测产业国内封测产业全球位居前列,全球位居前列,市场规模持续增长市场规模持续增长 封装与测试是集成电路产业链中的重要环节。封装与测试是集成电路产业链中的重要环节。封装是指对通过测试的晶圆进行背面减薄、划片、装片、键合、塑封、电镀、切筋成型等一系列加工工序而得到独立的具有完整功能的集成电路的过程。封装的目的是保护芯片免受物理、化学等环境因素造成的损伤,増强芯片的散热性能,以及便于将芯片端口联接到部件级(系统级)的印制电路板(PCB)、玻璃基板等,以实现电气连接,确保电路正

23、常工作。测试主要是对芯片或集成模块的功能、性能等进行测试,通过测量、对比集成电路的输出响应和预期输出,以确定或评估集成电路元器件的功能和性能,其目的是将有结构缺陷以及功能、性能不符合要求的产品筛选出来,是验证设计、监控生产、保证质量、分析失效以及指导应用的重要手段。0%10%20%30%40%50%60%70%20022资产负债率767880828486889092949620022营业周期(天)0.000.200.400.600.801.001.201.4020022流动比率0.000.200.400.600.801.001.

24、2020022速动比率 请务必阅读正文之后的免责条款部分 8 图表图表1313:集成电路产业链集成电路产业链 资料来源:灼识咨询,中邮证券研究所 图表图表1414:集成电路封装技术发展历程集成电路封装技术发展历程 阶段阶段 时间时间 封装形式封装形式 具体典型的封装形式具体典型的封装形式 第一阶段 20 世纪 70 年代以前 通孔插装型封装 晶 体 管 封 装(TO)、陶 瓷 双 列 直 插 封 装(CDIP)、塑料双列直插封装(PDIP)、但来了直插式封装 第二阶段 20 世纪 80 年代以后 表面贴装型封装 塑料有引线片载体封装(PLCC)、塑料四边引线扁平封装(PQ

25、FP)、小外形表面封装(SOP)、无边线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DFN)第三阶段 20 世纪 90 年代以后 球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热七焊球阵列封装(EBGA)、倒装芯片焊球阵列封装(FC-BGA)晶圆级封装(WLP)芯片级封装(CSP)引线框架 CSP 封装、柔性插入板 CSP 封装、刚性插入板 CSP 封装、圆片级 CSP 封装 第四阶段 20 世纪末开始 多芯组装(MCM)多成陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制板(MCM-L)系统级封装(SiP)三维

26、立体封装(3D)芯片上制作凸点(Bumping)第五阶段 20 世纪前十年开始 系统级单芯片封装(SoC)微电子机械系统封装(MEMS)晶圆级系统封装-硅通孔(TSV)请务必阅读正文之后的免责条款部分 9 倒装焊封装(FC)资料来源:气派科技招股书,中邮证券研究所 国内封测规模稳步增长,国内封测规模稳步增长,2 2021021 年销售额达年销售额达 27632763 亿元亿元。从总体市场结构来看,芯片产业链中技术含量较高的芯片设计为我国集成电路第一大细分行业,在 2021 年中国集成电路产值中芯片设计产值在三大行业中占比 43.21%,晶圆制造和封装测试占比分别为 30.37%、26.42%,

27、整体产业结构趋于完善。随着上游高附加值的芯片设计产业的加快发展,也推进了处于产业链下游的集成电路封装测试行业的发展。近年来,我国集成电路封装测试业逐年增长,2021 年集成电路封装测试销售额达 2763 亿元,同比增长 10.1%。图表图表1515:中国集成电路封测产业规模及增速中国集成电路封测产业规模及增速 资料来源:中国半导体业协会,中邮证券研究所 封装测试技术能力与国际先进水平接近。封装测试技术能力与国际先进水平接近。根据中国半导体产业发展状况报告显示,亚太地区依然是全球半导体封装测试业的聚集地,其中,中国台湾地区是全球规模最大、技术最先进的封装测试产业基地,中国台湾企业在前十大封装测试

28、代工企业中占据 5 家,中国大陆占据 3 家,分别为长电科技、通富微电、华天科技分别位列第 3 位、第 6 位、第 7 位。图表图表1616:2 202022 2 年全球前十大集成电路封装测试企业年全球前十大集成电路封装测试企业 排名 公司 营业收入(亿元)地区 1 日月光 854.9 中国台湾 2 安靠 443.9 美国 3 长电科技 337.8 中国大陆 4 通富微电 205.2 中国大陆 5 力成科技 192.8 中国台湾 6 华天科技 121.3 中国大陆 7 智路封测 109.7 中国大陆 8 京元电子 84.5 中国台湾 9 欣邦 55.2 中国台湾 10 南茂 54 中国台湾 资

29、料来源:芯思想研究院,中邮证券研究所 632 612 1036 1099 1256 1384 1564 1890 2194 2350 2510 2763-5%0%5%10%15%20%25%30%0500025003000200001920202021销售额(亿元)同比增长 请务必阅读正文之后的免责条款部分 10 公司为全球排名前三的集成电路封测企业。公司为全球排名前三的集成电路封测企业。从近五年全球集成电路封测市场份额排名看,行业龙头企业占据主要的份额,其中前三大 OSAT 厂商依然把控半壁江山,市占率合

30、计近 52%。根据芯思想研究院(ChipInsights)发布的 2022 年全球委外封测榜单,公司以预估 338 亿元营收在全球前十大 OSAT 厂商中排名第三,中国大陆第一。公司在品牌领导力、多元化团队、国际化运营、技术能力、品质保障能力、生产规模、运营效率等方面占有明显领先优势。图表图表1717:20222022 年全球委外封测市场占有率年全球委外封测市场占有率 资料来源:芯思想研究院,中邮证券研究所 2.2 2.2 先进封装助力芯片性能提升先进封装助力芯片性能提升 后摩尔时代后摩尔时代,先进封装成先进封装成为为提升芯片性能的重要提升芯片性能的重要技术路径技术路径。“后摩尔时代”制程技术

31、突破难度较大,工艺制程受成本大幅增长和技术壁垒等因素上升改进速度放缓。根据外媒Semiengineering 统计,28nm 制程节点的芯片开发成本为 5130 万美元,16nm 节点的开发成本为 1 亿美元,7nm 节点的开发成本需要 2.97 亿美元,5nm 节点开发成本上升至 5.4 亿美元。由于集成电路制程工艺短期内难以突破,通过先进封装技术提升芯片整体性能成为集成电路行业技术发展趋势。根据 Yole 预测数据,2019 年至 2025 年,全球先进封装市场规模年复合增长率约为6.6%,远高于传统封装的1.9%,全球先进封装占集成电路封测市场的比重将从2019年的 42.60%增长到

32、2025 年的 50%。27.11%14.08%10.71%6.51%6.11%3.85%3.48%2.68%1.75%1.71%22.01%0%5%10%15%20%25%30%日月光安靠科技长电科技通富微电力成科技华天科技智路封测京元电子欣邦南茂其他占比 请务必阅读正文之后的免责条款部分 11 图表图表1818:20 年先进封装和传统封装占比年先进封装和传统封装占比 资料来源:Yole,中邮证券研究所 从先进封装的技术发展方向看,主要朝两个领域发展:向上游晶圆制程领域发展(晶圆级封装)、向下游模组领域发展(系统级封装)。目前先进封装技术主要包括倒装、Bumpi

33、ng、TSV、RDL、晶圆级封装(WLP)、2.5D/3D 先进封装集成工艺、系统级封装 SiP 等。图表图表1919:先进封装的技术发展方向先进封装的技术发展方向 发展方向发展方向 相关说明相关说明 代表性技术代表性技术 向上游晶圆制程向上游晶圆制程领域发展(晶圆领域发展(晶圆级封装)级封装)为在更小的封装面积下容纳更多的引脚,先进封装向晶圆制程领域发展,直接在晶圆上实施封装工艺,通过晶圆重构技术在晶圆上完成重布线并通过晶圆凸点工艺形成与外部互联的金属凸点。晶圆上制作凸点工艺(Bumping)、晶圆重构工艺、硅通孔技术(TSV)、晶圆扇出技术(Fan-out)、晶圆输入技术(Fan-in)等

34、。向下游模组领域向下游模组领域发展(系统级封发展(系统级封装)装)将以前分散贴装在 PCB 板上的多种功能芯片,包括处理器、存储器等功能芯片以及电容、电阻等元器件集成为一颗芯片,压缩模块体积,缩短电气连接距离,提升芯片系统整体功能和灵活性。系统级封装技术(Sip),包括采用了倒装技术(Flip-Clip)的系统级封装产品。资料来源:甬矽电子招股书,中邮证券研究所 SiP 从结构方向上可以分为 1)多块芯片平面排布的二维封装结构(2D SiP);2)芯片垂直叠装的三维封装/集成结构(3D SiP)。在 2D SiP 结构中,芯片并排水平贴装在基板上的,贴装不受芯片尺寸大小的限制,工艺相对简单和成

35、熟,但其封装面积相应地比较大,封装效率比较低。3D SiP 可实现较高的封装效率,能较大限度地发挥 SiP 的技术优势,是实现系统集成的较为有效的技术途径,实际上涉及多种先进的封装技术,包括封装堆叠(PoP)、芯片堆叠(CoC)、硅通孔(TSV)、埋入式基板(Embedded Substrate)等,也涉及引线键合、倒装芯片、微凸点等其他封装工艺。三维高密度系统级封装(3D SiP,System in Package/SoP,System on Package)成为实现高性能、低功耗、小型化、异质工艺集成、低成本的系统集成电子产品的重要技术方案,国际半导体技术路线已经明确 SiP/SoP 将是

36、未来超越摩尔定律的重要技术。请务必阅读正文之后的免责条款部分 12 图表图表2020:主要先进封装工艺分类主要先进封装工艺分类 资料来源:CIC 灼识咨询,中邮证券研究所 C Chiphipletlet 成为高性能计算芯片技术趋势,先进封装助力成为高性能计算芯片技术趋势,先进封装助力 ChipletChiplet 实现实现。随着工艺制程演进到 5nm、3nm 节点,工艺制程的推进越来越难,同时由于集成度过高,功耗密度越来越大,供电和散热也面临着巨大的挑战。Chiplet 技术是后摩尔时代持续提高集成度和芯片算力的重要途径。Chiplet 即芯粒,首先将复杂功能进行分解,开发出多种具有单一特定功

37、能可进行模块化组装的芯粒,通过先进的集成技术封装在一起形成一个系统级的芯片。Chiplet 技术优点在于,可以将大型单片芯片划分为多个相同或者不同的小芯片,这些小芯片可以使用相同或者不同的工艺节点制造,再通过跨芯片互联和封装技术进行封装级别集成,降低成本的同时获得更高的集成度。目前,工业界已有多个基于 Chiplet 的产品面市,Intel 甚至发布集成 47 颗芯片的 Ponte Vecchio 系列。图表图表2121:ChipletChiplet 技术与技术与 S SOCOC、S SIPIP 技术比较技术比较 资料来源:IT 之家,中邮证券研究所 请务必阅读正文之后的免责条款部分 13 3

38、 3 封测技术布局全面,封测技术布局全面,ChipletChiplet 封装稳定量产封装稳定量产 3.1 3.1 封装技术业内领先,封装技术业内领先,ChipletChiplet 稳定量产稳定量产 公司核心技术水平领先,封测类型涵盖全面。公司核心技术水平领先,封测类型涵盖全面。公司目前提供的半导体微系统集成和封装测试服务涵盖高、中、低各种半导体封测类型,涉及多种半导体产品终端市场应用领域,可为客户提供从系统集成封装设计到技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试和芯片成品测试的全方位的芯片成品制造一站式服务。公司在中国和韩国有两大研发中心,拥有“高密度集成电路封测国家工程

39、实验室”、“博士后科研工作站”、“国家级企业技术中心”等研发平台;并拥有雄厚的工程研发实力和经验丰富的研发团队公司拥有丰富的多样化专利,覆盖中、高端封测领域。2022 年 1-6 月,公司获得专利授权 57 件,新申请专利 124件。截至 2022 年 6 月公司拥有专利 2988 件,其中发明专利 2406 件(在美国获得的专利为1462 件)。目前公司的核心技术包括 2.5D/3D 集成技术、晶圆级封装与扇出封装技术、系统级封装(SiP)、倒装封装技术、焊线封装技术、MEMS 与传感器封装等。图表图表2222:公司的公司的核心封装技术核心封装技术 技术名称技术名称 解决方案解决方案 应用领

40、域应用领域 2.5D/3D 集成技术 堆叠芯片封装(SD)高宽带存储、数据中心、高性能计算、人工智能、机器学习、移动设备处理器 层叠封装(PoP)封装内封装(PiP)2.5D/多芯片 eWLB QFP-SD-Quad Flat Pack with Stacked Die 晶圆级(WLP)封装与扇出封装技术 包封芯片封装(ECP)5G 移动处理器、WiFi 路由器及功放、可穿戴设备、车载信息及娱乐系统、人工智能、功能性服务器、通信基础设施 嵌入型晶圆级 BGA 封装(eWLB)晶圆级芯片尺寸封装(WLCSP)集成型被动器件(IPD)系统级封装(SiP)Stacked Die Module SSD

41、、高端应用处理器(CPUGPU)、功率管理芯片(PMIC)、互联模组、系带应用处理器(APU)、前段模组(FEM)、射频 MEMS、射频功放模组、指纹传感器 Substrate Module fcFBGA/LGA SiP Hybrid(flip chip+wirebond)SiP-single sided Hybrid SiP-double sided eWLB SiP fcBGA SiP Antenna-in-Package-SiP Laminate eWLB eWLB-PoP&2.5D SiP 倒装封装技术 FCBGA 5G 移动处理器、CPU,GPU,FPGA 等专用处理器、WiFi 路

42、由器及功放、可穿戴设备、车载信息及娱乐系统、可穿戴设备、无人机驾驶系统、音频处理器、通信基础设施 fcCSP fcLGA fcPoP FCOL-Flip Chip on Leadframe 焊线封装技术 LGA 5G 移动处理器、WiFi 路由器及功放、车载处理器、车载传感器、车载功率器件、车载信息及娱乐系统、存储器(Flash、BGA/FBGA/PBGA 存储器封装(Micro-SD etc)请务必阅读正文之后的免责条款部分 14 QFP DRAM)可穿戴设备、音频处理器、通信基础设施 QFN/DFN TO,DIP,SOT,SOP,TSOP MEMS 与传感器封装技术 embedded Wa

43、fer Level Ball Grid Array(eWLB)车载压力传感器、打印机芯片、光学传感器、激光雷达、麦克风传感器、射频MEMS、温湿度传感器、物联网(loT)设备、指纹传感器 Wafer Level Chip Scale Package(WLCSP)Flip Chip Chip Scale kage(fcCSP)Fine Pitch Ball Grid Array(FBGA)Land Grid Array(LGA)Quad Flat No-Lead(QFN)资料来源:公司官网,中邮证券研究所 XDFOIXDFOI ChipletChiplet 高密度多维异构集成系列工艺已进入稳定量

44、产阶段高密度多维异构集成系列工艺已进入稳定量产阶段。2022 年 7 月,公司推出 XDFOI 全系列极高密度扇出型封装解决方案。该解决方案在线宽或线距最小可达到 2um 的同时,可实现多层布线层,还采用了极窄节距凸块互联技术,封装尺寸大,可集成多颗芯片、高带宽内存和无源器件。2023 年 1 月 5 日,公司宣布 XDFOI Chiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货,最大封装体面积约为 1500mm的系统级封装。3.2 3.2 聚焦关键应用领域,提供高端定制化封装测试解决方案和配套产能聚焦关键应用领域,提供高

45、端定制化封装测试解决方案和配套产能 聚焦关键应用领域。聚焦关键应用领域。公司在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。在在 5G5G 通讯应用市场领域通讯应用市场领域,星科金朋在大颗 fcBGA 封装测试技术上累积有十多年经验,得到客户广泛认同,具备从 12x12mm 到 67.5x67.5mm 全尺寸 fcBGA 产品工程与量产能力,同时认证通过77.5x77.5

46、m的fcBGA测试产品。目前公司正在与客户共同开发更大尺寸的封装产品,如接近 100 x100mm 的技术。在封装体积增加的同时以及在前期系统平台专利布局的基础上,星科金朋与客户共同开发了基于高密度 Fan out 封装技术的 2.5D fcBGA 产品,同时认证通过TSV 异质键合 3D SoC 的 fcBGA,提升了集成芯片的数量和性能,为进一步全面开发 Chiplet 所需高密度高性能封装技术奠定坚实的基础。在在 5G5G 移动终端领域移动终端领域,公司提前布局高密度系统级封装 SiP 技术,配合多个国际高端客户完成多项 5G 射频模组的开发和量产,已应用于多款高端 5G 移动终端;并且

47、在移动终端的主要元件上,基本实现了所需封装类型的全覆盖。移动终端用毫米波天线 AiP 产品等已验证通过并进入量产阶段;此外,公司星科金朋新加坡厂拥有可应用于高性能高像素摄像模组的 CIS 工艺产线,也为公司进一步在快速增长的摄像模组市场争得更多份额奠定基础。在车载电子领域在车载电子领域,公司设立专门的汽车电子事业部,对车载电子业务进行统一规划和运营。目前公司的海内外六大生产基地已全部通过 IATF16949 认证,并都有车规产品开发和量产布局。产品类型覆盖智能座舱、ADAS、传感器和功率器件等多个应用领域:其中应用于智能车77Ghz Radar 系统的 eWLB 方案已验证通过并证明为性能最佳

48、的封装方案;应用于车载安全系统(安 请务必阅读正文之后的免责条款部分 15 全气囊)、驾驶稳定检测系统的传感器的 SOIC 方案已验证通过并量产;应用于 LiDAR 的 LGA 封装方案也通过车规认证并量产,此外多个 LiDAR 相关封装(QFN、MEMS mirror 等)在开发验证中;尤其是星科金朋韩国厂获得多款欧美韩车载大客户的汽车产品模组开发项目,主要应用为智能座舱和 ADAS。中国大陆的厂区已完成 IGBT 封装业务布局,同时具备碳化硅和氮化镓芯片封装和测试能力,目前已在车用充电桩出货第三代半导体封测产品。在半导体存储市场领域在半导体存储市场领域,公司的封测服务覆盖 DRAM、Fla

49、sh 等各种存储芯片产品。其中,星科金朋厂拥有 20 多年存储芯片封装量产经验。16 层 NAND flash 堆叠、35um 超薄芯片制程能力、Hybrid 异型堆叠等都处于国内行业领先的地位。在高性能计算领域,公司已推出 XDFOI全系列产品,为全球客户提供业界领先的超高密度异构集成解决方案。XDFOI应用场景主要集中在对集成度和算力有较高要求的 FPGA、CPU、GPU、AI 和 5G 网络芯片等。在在 AIAI/IoTIoT 物联网领域物联网领域,拥有全方位解决方案。公司国内厂区涵盖封装行业的大部分通用封装测试类型及部分高端封装类型;产能充足、交期短、质量好(良率均能达到 99.9%以

50、上),江阴厂区可满足客户从中道封测到系统集成及测试的一站式服务。图表图表2323:公司产品应用领域公司产品应用领域 领域领域 产品覆盖领域产品覆盖领域 相关技术相关技术 公司优势公司优势 汽车 自动驾驶(ADAS)、车载信息娱乐、新能源汽车 FBGA 通过 ISO9001、IATF16949 认证 eWLB 零缺陷质量守则 QFN-FC 大功率分立器件封装 FCCSP 系统级封装 经量产验证的 ADAS 封装方案 通信 基带、射频、通信基础设施 SiP 射频系统协同设计与仿真 eWLB 低介质损耗物料清单选配服务 FCCSP RFFE SiP 和 5G AiP 工具箱 FBGA 高速 EMI

51、屏蔽技术实现 QFN 一站式、全方位 5G 测试服务 DFN 高性能计算 人工智能、数据中心、区块链 FCCSP 高性价比 2.5D 封装 FCBGA 超高密度凸块封装技术 FOWLP 完整的芯片倒装产品线 SiP 经量产验证的 WLP 解决方案 QFP 丰富的区块链芯片封装经验 闪存 闪存 Flash、DRAM、硬件安全 FBGA 丰富的闪存和 DRAM 产品经验 FLGA 拥有领先的芯片堆叠技术 FCCSP 完整的银线引线类封装产品线 SiP 与全球前三大存储器制造商密切合作 在中国、韩国均设有存储器芯片封测量产基地 资料来源:公司官网,中邮证券研究所 请务必阅读正文之后的免责条款部分 1

52、6 4 4 盈利预测与投资建议盈利预测与投资建议 营收预测假设营收预测假设 考虑公司封测技术布局全面,业内领先,具有国际化的客户群基础,此外,公司持续推进产品结构优化,加速从消费类向市场需求快速增长的汽车电子、5G 通信、高性能计算、存储等高附加值市场的战略布局,聚焦高性能封装技术高附加值应用,预计公司未来有望保持稳健成长。行业层面,受下游需求下、库存水位较高等因素影响,2022 年以来全球半导体市场景气度持续下行,封测厂商的稼动率也受到一定影响,但伴随着库存的去化,行业有望逐步迎来复苏,芯片封测需求有望回升。图表图表2424:公司公司 2022023 3-2022025 5 年营收预测(亿元

53、)年营收预测(亿元)2022 2023E 2024E 2025E 集成电路封测业务 营业收入 337.62 335.36 382.74 440.56 YoY 10.69%-0.67%14.13%15.11%营业成本 280.10 279.65 317.09 363.35 YoY 12.55%-0.16%13.39%14.59%毛利率 17.04%16.61%17.15%17.53%资料来源:公司公告,中邮证券研究所预测 估值方面,预计公司 2023-2025 年的归母净利润分别为 31.05/38.35/44.03 亿元,对应 PE分别为 20/17/14 倍,与封测板块同业公司对比,公司估值

54、处于同业较低水平。图表图表2525:公司与公司与同业公司估值比较同业公司估值比较 证券名称证券名称 股价股价 (元元/股股)市值市值 (亿元亿元)营收(亿元)营收(亿元)归母净利润(亿元)归母净利润(亿元)P PE E 2022023 3E E 2022024 4E E 2022025 5E E 2022023 3E E 2022024 4E E 2022025 5E E 2022023 3E E 2022024 4E E 2022025 5E E 通富微电 22.99 348 246.26 291.84 338.26 9.21 12.30 14.00 38 28 25 华天科技 10.54

55、338 133.56 157.95 187.37 8.72 12.25 15.83 39 28 21 甬矽电子 33.10 135 32.23 43.92 -3.47 5.06 -39 27-长电科技长电科技 3 35.605.60 6 63434 335.36 335.36 382.74 382.74 440.56 440.56 31.05 31.05 38.35 38.35 44.03 44.03 2 20 0 1 17 7 1 14 4 资料来源:Wind,中邮证券研究所(注:同业可比公司盈利预测来自 Wind 一致预期)投资建议投资建议 预计公司 2023-2025 年营收分别为 33

56、5.36/382.74/440.56 亿元,归母净利润分别为31.05/38.35/44.03 亿元,EPS 分别为 1.74/2.15/2.47 元,当前股价对应 PE 分别为 20/17/14倍,首次覆盖,给予“买入”评级。5 5 风险提示风险提示 半导体行业景气度持续下滑;行业竞争加剧;下游客户订单需求不及预期。请务必阅读正文之后的免责条款部分 17 table_FinchinaDetail 财务报表和主要财务比率财务报表和主要财务比率 财务报表财务报表(百万元百万元)2022A 2023E 2024E 2025E 主要财务比率主要财务比率 2022A 2023E 2024E 2025E

57、 利润表利润表 成长能力成长能力 营业收入营业收入 33762 33536 38274 44056 营业收入 10.7%-0.7%14.1%15.1%营业成本 28010 27965 31709 36335 营业利润 2.4%-3.5%24.1%15.1%税金及附加 90 101 134 198 归属于母公司净利润 9.2%-3.9%23.5%14.8%销售费用 184 184 191 286 获利能力获利能力 管理费用 900 862 938 1145 毛利率 17.0%16.6%17.2%17.5%研发费用 1313 1315 1454 1696 净利率 9.6%9.3%10.0%10.0

58、%财务费用 126 131 87 28 ROE 13.1%11.2%12.1%12.2%资产减值损失-257-50-50-50 ROIC 9.9%9.2%9.9%10.1%营业利润营业利润 3246 3133 3886 4475 偿债能力偿债能力 营业外收入 48 30 20 10 资产负债率 37.5%34.7%34.2%32.5%营业外支出 2 1 1 1 流动比率 1.28 1.59 1.90 2.19 利润总额利润总额 3291 3162 3905 4484 营运能力营运能力 所得税 60 57 70 81 应收账款周转率 8.48 8.57 8.18 8.18 净利润净利润 3231

59、 3105 3835 4403 存货周转率 10.64 10.28 9.88 9.92 归母净利润归母净利润 3231 3105 3835 4403 总资产周转率 0.88 0.82 0.84 0.87 每股收益(元)每股收益(元)1.82 1.74 2.15 2.47 每股指标(元)每股指标(元)资产负债表资产负债表 每股收益 1.82 1.74 2.15 2.47 货币资金 2459 5273 8812 13980 每股净资产 13.85 15.62 17.81 20.31 交易性金融资产 4316 4316 4316 4316 估值比率估值比率 应收票据及应收账款 3689 4136 5

60、220 5549 PE 19.61 20.40 16.52 14.39 预付款项 110 110 125 143 PB 2.57 2.28 2.00 1.75 存货 3152 3374 4378 4504 流动资产合计流动资产合计 14143 17582 23228 28890 现金流量表现金流量表 固定资产 19517 19420 19452 19312 净利润 3231 3105 3835 4403 在建工程 807 646 517 413 折旧和摊销 3793 3760 4097 4444 无形资产 483 482 482 482 营运资本变动-1142-603-942 471 非流动资

61、产合计非流动资产合计 25264 25005 24908 24665 其他 131 197 219 241 资产总计资产总计 39408 42587 48137 53555 经营活动现金流净额经营活动现金流净额 6012 6459 7209 9560 短期借款 1174 1174 1174 1174 资本开支-3817-3471-3981-4191 应付票据及应付账款 4973 4999 5923 6592 其他-1541 3 4 4 其他流动负债 4887 4883 5123 5419 投资活动现金流净额投资活动现金流净额-5358-3468-3977-4187 流动负债合计流动负债合计 1

62、1033 11055 12220 13185 股权融资 0 3 0 0 其他 3732 3732 4232 4232 债务融资 163 0 500 0 非流动负债合计非流动负债合计 3732 3732 4232 4232 其他-1212-180-192-205 负债合计负债合计 14765 14787 16452 17417 筹资活动现金流净额筹资活动现金流净额-1048-177 308-205 股本 1780 1782 1782 1782 现金及现金等价物净增加额现金及现金等价物净增加额-310 2814 3539 5168 资本公积金 15081 15081 15081 15081 未分配

63、利润 7154 9843 13153 16946 少数股东权益 0 0 0 0 其他 628 1094 1669 2330 所有者权益合计所有者权益合计 24643 27800 31685 36138 负债和所有者权益总计负债和所有者权益总计 39408 42587 48137 53555 资料来源:公司公告,中邮证券研究所 请务必阅读正文之后的免责条款部分 18 中邮证券投资评级说明中邮证券投资评级说明 投资评级标准 类型 评级 说明 报告中投资建议的评级标准:报告发布日后的 6 个月内的相对市场表现,即报告发布日后的 6 个月内的公司股价(或行业指数、可转债价格)的涨跌幅相对同期相关证券市

64、场基准指数的涨跌幅。市场基准指数的选取:A 股市场以沪深 300 指数为基准;新三板市场以三板成指为基准;可转债市场以中信标普可转债指数为基准;香港市场以恒生指数为基准;美国市场以标普500 或纳斯达克综合指数为基准。股票评级 买入 预期个股相对同期基准指数涨幅在 20%以上 增持 预期个股相对同期基准指数涨幅在 10%与 20%之间 中性 预期个股相对同期基准指数涨幅在-10%与 10%之间 回避 预期个股相对同期基准指数涨幅在-10%以下 行业评级 强于大市 预期行业相对同期基准指数涨幅在 10%以上 中性 预期行业相对同期基准指数涨幅在-10%与 10%之间 弱于大市 预期行业相对同期基

65、准指数涨幅在-10%以下 可转债 评级 推荐 预期可转债相对同期基准指数涨幅在 10%以上 谨慎推荐 预期可转债相对同期基准指数涨幅在 5%与 10%之间 中性 预期可转债相对同期基准指数涨幅在-5%与 5%之间 回避 预期可转债相对同期基准指数涨幅在-5%以下 分析师声明分析师声明 撰写此报告的分析师(一人或多人)承诺本机构、本人以及财产利害关系人与所评价或推荐的证券无利害关系。本报告所采用的数据均来自我们认为可靠的目前已公开的信息,并通过独立判断并得出结论,力求独立、客观、公平,报告结论不受本公司其他部门和人员以及证券发行人、上市公司、基金公司、证券资产管理公司、特定客户等利益相关方的干涉

66、和影响,特此声明。免责声明免责声明 中邮证券有限责任公司(以下简称“中邮证券”)具备经中国证监会批准的开展证券投资咨询业务的资格。本报告信息均来源于公开资料或者我们认为可靠的资料,我们力求但不保证这些信息的准确性和完整性。报告内容仅供参考,报告中的信息或所表达观点不构成所涉证券买卖的出价或询价,中邮证券不对因使用本报告的内容而导致的损失承担任何责任。客户不应以本报告取代其独立判断或仅根据本报告做出决策。中邮证券可发出其它与本报告所载信息不一致或有不同结论的报告。报告所载资料、意见及推测仅反映研究人员于发出本报告当日的判断,可随时更改且不予通告。中邮证券及其所属关联机构可能会持有报告中提到的公司

67、所发行的证券头寸并进行交易,也可能为这些公司提供或者计划提供投资银行、财务顾问或者其他金融产品等相关服务。证券期货投资者适当性管理办法于 2017 年 7 月 1 日起正式实施,本报告仅供中邮证券客户中的专业投资者使用,若您非中邮证券客户中的专业投资者,为控制投资风险,请取消接收、订阅或使用本报告中的任何信息。本公司不会因接收人收到、阅读或关注本报告中的内容而视其为专业投资者。本报告版权归中邮证券所有,未经书面许可,任何机构或个人不得存在对本报告以任何形式进行翻版、修改、节选、复制、发布,或对本报告进行改编、汇编等侵犯知识产权的行为,亦不得存在其他有损中邮证券商业性权益的任何情形。如经中邮证券

68、授权后引用发布,需注明出处为中邮证券研究所,且不得对本报告进行有悖原意的引用、删节或修改。中邮证券对于本申明具有最终解释权。请务必阅读正文之后的免责条款部分 19 公司简介公司简介 中邮证券有限责任公司,2002 年 9 月经中国证券监督管理委员会批准设立,注册资本 50.6 亿元人民币。中邮证券是中国邮政集团有限公司绝对控股的证券类金融子公司。中邮证券的经营范围包括证券经纪、证券投资咨询、证券投资基金销售、融资融券、代销金融产品、证券资产管理、证券承销与保荐、证券自营和与证券交易、证券投资活动有关的财务顾问等。中邮证券目前已经在北京、陕西、深圳、山东、江苏、四川、江西、湖北、湖南、福建、辽宁

69、、吉林、黑龙江、广东、浙江、贵州、新疆、河南、山西等地设有分支机构。中邮证券紧紧依托中国邮政集团有限公司雄厚的实力,坚持诚信经营,践行普惠服务,为社会大众提供全方位专业化的证券投、融资服务,帮助客户实现价值增长。中邮证券努力成为客户认同、社会尊重,股东满意,员工自豪的优秀企业。中邮证券研究所 北京 电话: 邮箱: 地址:北京市东城区前门街道珠市口东大街 17 号 邮编:100050 上海 电话: 邮箱: 地址:上海市虹口区东大名路 1080 号邮储银行大厦 3楼 邮编:200000 深圳 电话: 邮箱: 地址:深圳市福田区滨河大道 9023 号国通大厦二楼 邮编:518048

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(长电科技-公司研究报告-逆周期稳健增长先进封装注入发展新动能-230410(19页).pdf)为本站 (山海) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部