上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业专题报告:刻蚀工艺双子星大马士革&极高深宽比-230511.pdf

编号:125303 PDF 32页 1.77MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业专题报告:刻蚀工艺双子星大马士革&极高深宽比-230511.pdf

1、分析师:吴文吉登记编号:S03刻蚀工艺双子星:大马士革&极高深宽比证券研究报告半导体行业/专题报告2023年5月11日投资要点刻蚀概览:刻蚀是半导体器件制造中选择性地移除沉积层特定部分的工艺。在半导体器件的整个制造过程中,刻蚀步骤多达上百个,是半导体制造中最常用的工艺之一。刻蚀工艺可分为干法刻蚀和湿法刻蚀。目前应用主要以干法刻蚀为主,市场占比90%以上。湿法刻蚀在小尺寸及复杂结构应用中具有局限性,目前主要用于干法刻蚀后残留物的清洗。根据作用原理,干法刻蚀可分为物理刻蚀(离子铣刻蚀)和化学刻蚀(等离子刻蚀)。根据被刻蚀的材料类型,干法刻蚀则可分为金属刻蚀、介质刻蚀与硅刻蚀,

2、介质刻蚀、硅刻蚀广泛应用于逻辑、存储器等芯片制造中,合计占九成以上市场规模。刻蚀关键工艺:大马士革&极高深宽比新电子材料的集成和加工器件尺寸的不断缩小为刻蚀设备带来了新的技术挑战,同时对性能的要求(刻蚀均匀性、稳定性和可靠性)越来越高。分别从逻辑器件和存储器件的技术演进路线看刻蚀工艺应用:在28纳米及以下的逻辑器件生产工艺中,一体化大马士革刻蚀工艺,需要一次完成通孔和沟槽的刻蚀,是技术要求最高、市场占有率最大的刻蚀工艺之一。存储器件2D到3D的结构转变使等离子体刻蚀成为最关键的加工步骤。在存储器件中,极高深宽比刻蚀是最为困难和关键的工艺,是在多种膜结构上,刻蚀出极高深宽比(40:1)的深孔/深

3、槽。刻蚀设备市场情况:微缩化+3D化,推动刻蚀用量增加;根据Gartner统计,2022年全球刻蚀设备占晶圆制造设备价值量约22%,约230亿美元市场规模。刻蚀设备呈现日美厂商头部集中、中国厂商崛起的竞争格局。相关标的:刻蚀设备:北方华创,中微公司,屹唐股份(未上市);零部件:富创精密,江丰电子,新莱应材,英杰电气,国力股份,华卓精科(未上市)风险提示:1)下游扩产不及预期;2)技术发展不及预期;3)零部件短缺影响出货;4)贸易争端风险。资料来源:Gartner,SEMI,slidesplayer,国际电子商情,中微公司公告,中微公司官网,华经情报网,前瞻产业研究院,方正证券研究所整理25Xk

4、ZYZnV9YFZlYZYkWfW6MbPbRsQnNoMnOeRqQtOeRoPoM8OqRrRvPtPmOxNtQoN刻蚀概览1刻蚀设备厂商23刻蚀分类&工艺对比刻蚀关键工艺:大马士革&极高深宽比刻蚀设备市场情况目录北方华创中微公司屹唐股份(未上市)刻蚀:将掩膜版上的电路图印射到晶圆上4资料来源:ASML,方正证券研究所整理刻蚀用刻蚀材料(如气体)将显影阶段印射出来的图形刻蚀成3D结构。光刻光通过光掩膜版射到晶圆上。当涂胶层暴露在光照下,会产生化学反应将光掩膜版上的图案印到晶圆上。晶圆制造工艺循环图刻蚀工艺:90%以上为干法刻蚀资料来源:方正证券研究所整理 刻蚀是利用化学或者物理的方法将晶

5、圆表面附着的不必要的材质进行去除的过程。刻蚀工艺可分为干法刻蚀和湿法刻蚀。目前应用主要以干法刻蚀为主,市场占比90%以上。湿法刻蚀在小尺寸及复杂结构应用中具有局限性,目前主要用于干法刻蚀后残留物的清洗。湿法刻蚀可分为化学刻蚀和电解刻蚀。根据作用原理,干法刻蚀可分为物理刻蚀(离子铣刻蚀)和化学刻蚀(等离子刻蚀)。根据被刻蚀的材料类型,干法刻蚀则可分为金属刻蚀、介质刻蚀与硅刻蚀。刻蚀物理刻蚀湿法刻蚀干法刻蚀化学刻蚀化学刻蚀电解刻蚀金属刻蚀介质刻蚀硅刻蚀图表:刻蚀分类图表:湿法刻蚀与干法刻蚀市场占比干法刻蚀,90%湿法刻蚀,10%5刻蚀工艺对比:湿法刻蚀 VS 物理刻蚀 VS 化学刻蚀资料来源:sl

6、idesplayer,阿尔法经济研究,方正证券研究所整理 目前应用中,湿法刻蚀和物理刻蚀主要用于清洗。纯化学刻蚀用于光刻胶等介质材料的去除。器件主要部分的刻蚀主要采用物理化学混合的反应离子刻蚀,其中又以等离子体干法刻蚀为主导。图表:工艺指标对比工艺湿法刻蚀干法刻蚀实现方式化学试剂腐蚀物理方法(物理离子溅射)化学方法(活性元素化学反应)物理化学混合主要设备硅片刻蚀机物理离子溅射刻蚀去胶机反应离子刻蚀应用氧化硅去除、湿法化学剥离表面清洗光刻胶去除、氧化硅去除、掩模氧化层去除等孔、槽等各种形状的硅、氧化物及金属材料等刻蚀刻蚀速率慢快慢适中刻蚀剖面各向同性各向异性各向同性各向异性线宽控制能力很差好很差

7、很好选择比较高低且很难提高(1:1)很高(500:1)高(5:1100:1)均匀性差较好的片内、片间和批次间刻蚀的一致性其他对器件损伤较小;设备成本较低。最小的光刻胶脱落或粘附问题;较低的材料消耗和废气处理问题;但会有等离子体诱导损伤。6干法刻蚀:介质刻蚀 VS 硅刻蚀 VS 金属刻蚀资料来源:华经情报网,前瞻产业研究院,slidesplayer,方正证券研究所整理 按照被刻蚀材料,干法刻蚀可以分为介质刻蚀、硅刻蚀和金属刻蚀。介质刻蚀、硅刻蚀广泛应用于逻辑、存储器等芯片制造中,合计占九成以上市场规模。金属刻蚀主要是互连线及多层金属布线的刻蚀,但随着180nm节点开始,铜互连技术逐步取代铝互连,

8、金属刻蚀应用规模快速下降,目前仅占比3%左右。图表:介质刻蚀、硅刻蚀、金属刻蚀对比图表:不同刻蚀材料市场规模占比介质刻蚀48%硅刻蚀47%金属刻蚀3%其他2%质量指标材质工艺目的刻蚀系统刻蚀速率选择比介质刻蚀氧化硅制作接触孔和通孔反应离子刻蚀RIE系统亚微米以下采用ICP-RIE系统相对较慢高氮化硅-反应离子刻蚀RIE系统较快(120nm/min)高(20:1)硅刻蚀多晶硅形成IC中的MOS栅极,属于关键尺寸的刻蚀反应离子刻蚀RIE系统较快高(150:1)单晶硅形成IC的STI槽和垂直电容槽高密度等离子体刻蚀ICP-RIE系统较快低金属刻蚀铝-反应离子刻蚀RIE系统快(1000nm/min)高

9、钨填充通孔的钨塞沉积层的反刻(陆续被先进的CMP工艺取代)反应离子刻蚀RIE系统快(1000nm/min)高7刻蚀概览1刻蚀设备厂商28刻蚀分类&工艺对比刻蚀关键工艺:大马士革+极高深宽比刻蚀设备市场情况目录北方华创中微公司屹唐股份(未上市)刻蚀应用:逻辑器件中的刻蚀资料来源:纳米集成电路制造工艺,方正证券研究所整理浅槽隔离刻蚀(STI Etch)栅极刻蚀(Gate Etch)栅侧墙刻蚀(Spacer Etch)硅凹槽刻蚀(SiGe Etch)应力记忆刻蚀(SMT Etch)应力邻近技术刻蚀(SPT Etch)双应力层刻蚀(DSL)基板工艺钨接触孔刻蚀(Contact Etch)铜通孔刻蚀(V

10、ia Etch)介质沟槽刻蚀(Metal Etch)铝垫刻蚀(AI-pad Etch)钝化刻蚀(Passivation Etch)布线工艺9逻辑器件技术路线图Year of HVM(20k/month)20024202620282030NodeN7N5N3N2N1.4N1N0.7Device32 Fin2 Fin21 FinGAA NSForksheetCFET2ndGen.CFETPoly pitch(PP)56484542393633Min.MP nm40282220181612Cell height(CH)240(2Fin)210(2Fin)176(2Fin)120

11、(NS)90(NS)64(CFET)48(CFET)Density(a.u.)PPCHDTCO*11.73(vs.N7)1.53(vs.N5)1.81(vs.N3)1.65(vs.N2)1.75(vs.N1.4)1.67(vs.N1.0)Scaling boosterEUVSDB*EUVHigh channelSAGC*Dipole eWFBackside PDNHeterogeneouschannel2D materialAssume new knob will be created in each node*DTCO:Design technology co-optimization设计技

12、术协同优化*Single Diffusion Break单扩散中断*Self Align Gate Contact自对准栅极接触针对器件设计和新材料优化的精密图行化工艺流程5&3 nm发展资料来源:TEL官网,方正证券研究所整理10逻辑器件:大马士革刻蚀工艺 大马士革工艺一般指的是铜的大马士革镶嵌工艺(Cu Damascene plating),镶嵌(damascene)一词衍生自古代Damascus(大马士革)工匠的嵌刻技术,故亦称为大马士革镶嵌技术。此外还有双大马士革工艺(Dual Damascene),都是应用在集成电路互联线路的BEOL制程中。早期的集成电路采用铝金属进行布线互联通过P

13、VD先沉积铝层刻蚀出铝图案后淀积二氧化硅介质层最后进行化学机械平坦化处理(CMP)铝和硅在577下会发生共熔,容易破坏浅结形成短路;大规模集成电路里的铝导线又细又长,经常要承受超高密度电流,内部的铝易在电场和热作用下扩散甚至断开,发生“电迁移”铝对于二氧化硅有很好的粘附性在铝中加入4%的铜可以有效减轻这种电迁移现象在硅片上镀铜的缺点:铜与硅的接触电阻很高,铜容易扩散进入硅中,引起器件性能灾难;铜无法像铝一样采用传统的气体plasma刻蚀方法(铜与等离子体反应的生成物是固态,而非气态,且刻蚀速度比铝小一个量级)以大马士革镶嵌的方法来形成铜的互联线,随之铜互连技术逐渐取代了铝互联技术铜的大马士革镶

14、嵌工艺双大马士革工艺对硅介质进行刻蚀(高纯度的硅有很低的接触电阻,容易干法刻蚀),形成孔洞(通孔),沉积金属铜(PVD、CVD或电镀),使其填充到孔洞中最后进行化学机械平坦化处理(CMP)硅铜铝二氧化硅资料来源:屹立芯创,方正证券研究所整理11分类优缺点步骤双大马士革刻蚀工艺Trench first缺点:进行via的光刻时,由于此处的光阻(photoresist,PR)较厚,因此曝光(exposure)与显影(development)较为困难刻蚀出沟槽trench刻蚀出via沉积金属CuVia first优点:via的光刻是在平坦平面上进行,较容易;缺点:在之后的沟槽光刻制程时,由于光阻会将v

15、ia填满,造成在trench蚀刻后,via可能会有有机残余物(residue)的问题刻蚀出via孔洞刻蚀出trench沉积金属CuSelf-aligned优缺点:该方法的工艺步骤较多,相对复杂,但它的via与trench同时形成关键需求:1.高SiN选择性(更少的SiN损失)2.窄缝蚀刻能力已沉积的介质层上再沉积一层数百埃的薄氮化硅作为阻挡层在阻挡层上蚀刻出via图案,但在此先不往下层的介质层蚀刻下去沉积第二层介质层进行trench的光刻制程最后进行干蚀刻,在蚀刻至trench底部时,利用二氧化硅对氮化硅的高蚀刻选择比,以氮化硅作为trench的蚀刻终止层,同时并继续蚀刻下去至via图案完成为

16、止(由于阻挡层的保护,底部的介质层只被刻蚀成via的图案)逻辑器件:双大马士革刻蚀工艺 硅介质表面的阻挡层(barrier layer)一般是TaN,主要起两个作用,一是避免铜扩散到介质层中而引起器件失效;二是可以更好地粘附铜层。进一步发展出dual Damascene工艺,这里的dual是指同时形成通孔(via)和金属(metal)两层。Dual Damascene还可进一步细分,包括trench first、via first和self-aligned三类。资料来源:屹立芯创,方正证券研究所整理123.5 nm5 nm7 nm10 nm逻辑器件互联线路的BEOL制程技术路线图 在28纳米及

17、以下的逻辑器件生产工艺中,一体化大马士革刻蚀工艺,需要一次完成通孔和沟槽的刻蚀,是技术要求最高、市场占有率最大的刻蚀工艺之一。图表:逻辑器件BEOL技术路线图16-14 nm制程图形化金属化双大马士革工艺Self-aligned via(SAV)Ta/TaN PVDSource:TEL(Estimated using IEDM,VL,IITC papers)Self-aligned block(SAB)Fully self-aligned via(FSAV)Non SAVSAVCu:ECDReplacement of Cu:Ru,Co,CoAl资料来源:TEL官网,中微公司公告,方正证券研究所

18、整理13刻蚀应用:存储器中的刻蚀资料来源:泛林集团官网,半导体制造技术导论,方正证券研究所整理图表:不同存储器的WL和接触孔密度字线阶梯式刻蚀:多道字线光刻步骤通过重复的垂直步骤刻蚀和2D剪裁,以提供3D NAND器件中使用的字线阶梯的“上下”形状。高深宽比通道刻蚀:使用超高HAR刻蚀(深宽比大于40)来形成穿过90多NAND层的存储器通道所需的孔洞。图表:3D NAND存储器阵列和关键工艺挑战ILDILDILD接触选择性栅极WLSiNAND存储器WL接触DRAM栅极接触SRAM位线:金属填充(铜)触点:金属填充(钨)梯级:阶梯式刻蚀字线:金属填充(钨)沟道:高深宽比刻蚀狭缝:高深宽比刻蚀堆叠

19、:更迭薄膜刻蚀多层触点:高深宽比刻蚀14NAND技术路线图:2D到3D使等离子体刻蚀成为最关键的加工步骤Year of HVM(20k/month)202020224202520262027202820292030Stack(1.6x/3years)128L16x19xL(176)22x25xL(240)28x32xL(304)35x4xxL(368)41x45xL(440)5xxL(512)Tier1 or 22222 or 333 or 4Vertical pitch5055nm4555nm4050nm3545nm3545nm3545nm3540nmMemoryHei

20、ght78m8.510.5m1012.5m1114m13.517m1620.5m18.521mChannelPoly Si grain CIPIncl.MILC Si*WL metalWWWMoMoMoMo#of memory holes b/w slits99924142419 or 2419 or 2419 or 24Peri.CMOS(In general)Under array or Next arrayUnder arrayUnder array or Bonding#of memory holes b/w slitsTier*MILC Si:Metal-induced latera

21、l crystallization silicon从晶圆片边缘开始的膜层脱层引起了越来越多的关注资料来源:Intel官网,TEL官网,方正证券研究所整理甚至更小的颗粒也会影响器件的成品率多层次和生产力高选择性工艺实现适合3d结构的高度均匀工艺Vertical pitch15DRAM技术路线图:高深宽比工艺,提高精度和材料的特定适应性Year of HVM(20k/month)20202022420252026202728202930F*nm2560Peri.CMOS193i SAQP,DP(+EUV)High-k Metal G

22、ate3DAlternative:STO etc.FinFET6F2ZAZ CIPPoly SiON*Feature size:STI hp along WL=STI hp/COS(21)Capacitor stackDRAM高深宽比由于较低的机械强度,增加了capacitor崩溃的风险高长宽比结构,增加了干法刻蚀的难度极高深宽比刻蚀工艺从1Y到1Z增强高深宽比工艺,提高精度和材料的特定适应性,以实现更高的比特密度在存储器件中,极高深宽比刻蚀是最为困难和关键的工艺,是在多种膜结构上,刻蚀出极高深宽比(40:1)的深孔/深槽资料来源:TEL官网,方正证券研究所整理16刻蚀概览1刻蚀设备厂商217

23、刻蚀分类&工艺对比刻蚀关键工艺:大马士革+极高深宽比刻蚀设备市场情况目录北方华创中微公司屹唐股份(未上市)刻蚀设备:结构解析资料来源:四十八所,方正证券研究所整理反应室:线圈在高频电激发下起辉,生成活性离子基高频电源:给线圈放高压电匹配器:使功率稳定在固定位置真空系统:把反应生成物抽到尾气排放管道送气系统:CF4和O2的混合1819图表:通过多重模板等离子体刻出的是光刻尺寸的1/2到1/4的微观结构(示意图)先进制程以多重模板工艺为依托从而实现更小微观尺寸,凸显刻蚀设备重要性。随着集成电路芯片制造工艺的进步,线宽关键尺寸不断缩小、芯片结构3D化,晶圆制造向7纳米、5纳米以及更先进的工艺发展。由

24、于目前先进工艺芯片加工使用的光刻机受到波长限制,14纳米及以下的逻辑器件微观结构的加工多通过等离子体刻蚀和薄膜沉积的工艺组合多重模板工艺来实现,使得刻蚀等相关设备的加工步骤增多。刻蚀设备:14纳米以下晶圆制造关键设备之一资料来源:中微公司2022年度业绩说明会,中微公司公告,方正证券研究所整理二重模板刻出20纳米的核四重模板沉积10纳米薄膜刻出10纳米边墙刻掉20纳米的核沉积5纳米薄膜刻出5纳米边墙刻掉10纳米的核10纳米边墙20纳米5纳米边墙20图表:逻辑器件制程刻蚀工艺的步骤数405565nm20nm14nm10nm7nm5nm四倍增长刻蚀刻蚀 20%刻蚀刻蚀 50%

25、2D NAND3D NAND其他其他光刻光刻检测检测清洗清洗沉积沉积刻蚀刻蚀图表:2D NAND到3D NAND刻蚀设备投资占比 随着工艺制程升级,刻蚀机用量也将持续攀升。14nm制程所需刻蚀步骤为65次,7nm制程所需刻蚀步骤高达140次,5nm制程所需刻蚀步骤进一步提升至160次。NAND闪存进入3D、4D时代,采用缩小单层上线宽和增加堆叠层数的方法来增加集成度,要求刻蚀技术实现更高的深宽比。刻蚀技术需要在氧化硅和氮化硅一对的叠层结构上,加工40:1到60:1的极深孔或极深的沟槽。目前,3D 96层与128层闪存均已进入量产阶段。从2D NAND过渡到3D NAND,刻蚀设备的投资占比显著

26、提升,从20%提高至50%。刻蚀设备:微缩化+3D化,推动刻蚀用量增加资料来源:屹唐股份招股书,中微公司公告,方正证券研究所整理刻蚀设备:2022年全球刻蚀设备共计约230亿美元市场规模资料来源:Gartner,中微公司公告,方正证券研究所整理 集成电路设备包括晶圆制造设备、封装设备和测试设备等,晶圆制造设备的市场规模约占集成电路设备整体市场规模的约80%。晶圆制造设备可以分为刻蚀、薄膜沉积、光刻、检测、离子掺杂等品类,其中刻蚀设备、薄膜沉积、光刻设备设备是集成电路前道生产工艺中最重要的三类设备。根据Gartner统计,2022年全球刻蚀设备、薄膜沉积和光刻设备分别占晶圆制造设备价值量约22%

27、、22%和17%,2022年全球刻蚀设备共计约230亿美元市场规模。图表:2022年全球晶圆制造设备价值量占比2122%17%22%12%6%4%3%2%1%11%刻蚀设备光刻机薄膜设备工艺控制成批清洗显影洗像化机抛光离子注入氧化退火其他种类图表:2022年全球刻蚀设备市场规模及分类占比47.9%47.5%3.5%1.1%ICPCCP除胶机晶圆边清除2022年全球刻蚀设备总计230亿美元市场规模刻蚀设备竞争格局:日美厂商头部集中,中国厂商崛起资料来源:SEMI,国际电子商情,方正证券研究所整理 全球市场行业集中度高,技术壁垒显著。全球刻蚀机市场长期一直被泛林半导体、东京电子、应用材料三大巨头占

28、据,2019年合计市场占比约90%,行业集中度高。2019年,细分介质刻蚀机市场中,东京电子处于领先地位,市占率达到52%,国内中微公司市占率也已达到3%。国内刻蚀机市场,国产厂商表现亮眼。泛林半导体依旧在国内刻蚀机市场中保持领先地位,2019年市占率52%;而国产厂商中,中微公司已占据20%市场份额,排名第二,北方华创则占据6%市场份额;中微领军国内介质刻蚀,北方华创则领军国内硅刻蚀。泛林半导体53%东京电子19%应用材料18%其他10%图表:2019年全球刻蚀机市场竞争格局图表:2019年中国刻蚀机市场竞争格局泛林半导体52%中微公司20%东京电子9%应用材料5%北方华创6%其他8%22刻

29、蚀概览1刻蚀设备厂商223刻蚀分类&工艺对比刻蚀关键工艺:大马士革+极高深宽比刻蚀设备市场情况目录北方华创中微公司屹唐股份(未上市)资料来源:北方华创官网,北方华创公告,方正证券研究所整理24设备应用领域NMC508M8吋:金属铝和钨刻蚀NMC612M12吋:TiN金属硬掩膜双大马士革工艺NMC612GIC领域AL刻蚀及微显示领域金属刻蚀NMC508C8吋:多晶硅栅、STI和硅金属钨化物刻蚀NMC612C12吋:55nm Logic,65nm NOR flash,55nm CIS,90MCU等领域硅刻NMC612D12吋:先进逻辑制程中STI、Gate及FinFET结构刻蚀等设备应用领域DSE

30、200系列/NMC508DTE系列8英吋及以下IGBT、MOSFET及Super Junction中的Deep Trench刻蚀GDE C200系列/GSE C200系列GaN、SiC、SiO2、Al2O3等材料刻蚀380G+/G380C刻蚀机/380E PSS刻蚀机照明领域HSE系列8-12吋先进封装+8吋及以下MEMS领域深硅刻蚀BMD P230 等离子去胶机8-12吋先进封装领域表面去胶及表面活化等Descum工艺集成电路功率其他根据公司2022年年报,刻蚀装备方面,面向12吋逻辑、存储、功率、先进封装等客户,公司已完成数百道工艺的量产验证,ICP刻蚀产品出货累计超过2000腔;采用高密

31、度、低损伤设计的12吋等离子去胶机已在多家客户完成工艺验证并量产;金属刻蚀设备凭借稳定的量产性能成为国内主流客户的优选机台;迭代升级的高深宽比TSV刻蚀设备,以其优异的性能通过客户端工艺验证,支撑Chiplet工艺应用;应用于提升芯片良率的12吋CCP晶边刻蚀机已进入多家生产线验证;精准针对客户需求,发布了双频耦合CCP介质刻蚀机,实现了在硅刻蚀、金属刻蚀、介质刻蚀工艺的全覆盖。面向6/8吋兼容的多晶硅刻蚀、金属刻蚀、介质刻蚀和SiC、GaN等化合物刻蚀设备系列,为各类半导体器件提供刻蚀工艺全面解决方案。北方华创:领军硅刻蚀中微公司:领军介质刻蚀资料来源:中微公司2022年度业绩说明会,中微公

32、司公告,方正证券研究所整理25CCPICP高能电容性等离子体刻蚀低能电感型等离子体刻蚀DSC D-RIEDSC AD-RIESSC HD-RIESSC UD-RIE 存储器件DSC SD-RIE 逻辑器件DSC TSVSSC NanovaSSC Nanova SE/UE/VE/LUXDSC TwinstarDSC:双台机,SSC:单台机将完成将完成SSC Nanova SE/UE/VE已发布SSC Nanova LUX将完成已发布大马士革刻蚀极高深宽比刻蚀极高端ICP刻蚀高输出/低成本ICP刻蚀中微公司Primo CCP和ICP等离子体刻蚀产品及新产品开发项目根据公司2022年年报,公司202

33、2年共生产付运475个CCP刻蚀反应腔,同比增长59.40%。在先进逻辑器件方面,公司的双反应台刻蚀机不断完善设备性能,在国际最先进的5纳米芯片生产线及下一代更先进的生产线上均实现了多次批量销售。在存储器件方面,公司的刻蚀设备不仅在3D NAND的生产线被广泛应用,还成功的通过了多个动态存储器的工艺验证,并取得了重复订单。公司的ICP刻蚀设备在超过20个客户的逻辑、DRAM和3D NAND等器件的生产线上进行超过100多个ICP刻蚀工艺的量产,并持续扩展到更多刻蚀应用的验证。截止2022年底,Primo Nanova系列产品在客户端安装腔体数已达到297台。中微公司:大马士革+极高深宽比势不可

34、挡资料来源:中微公司2022年度业绩说明会,中微公司公告,方正证券研究所整理26公司在现有产品的基础上,分别针对逻辑器件的一体化大马士革刻蚀工艺和存储器件的极高深宽比刻蚀技术进行技术攻关,并取得良好进展。公司针对一体化大马士革刻蚀工艺,开发了可调节电极间距的刻蚀机,在刻蚀过程中,反应腔的极板间距可动态调节,以同时满足通孔和沟槽刻蚀的不同工艺要求。公司自主开发了极高深比刻蚀机,该设备用400KHz取代2MHz作为偏压射频源,以获得更高的离子入射能量和准直性,使得深孔及深槽刻蚀关键尺寸的大小符合规格。中微开发的三代CCP刻蚀20:1到60:1极高深宽比细孔的历史深宽比2014年 20:12018年

35、 40:12021年 60:1孔顶端孔底部第二代AD-RIE第三代HD-RIE第四代XD-RIE中微在国内最先进存储研发线的市占率及目标中微在国内最先进逻辑器件生产线的刻蚀市占率及目标中微L公司T公司M公司中微85%T公司M公司现有CCP刻蚀市占率近期目标CCP刻蚀市占率中微L公司A公司其他M公司中微65%其他现有ICP刻蚀市占率近期目标ICP刻蚀市占率中微T公司A公司L公司中微60%T公司A公司L公司现有CCP刻蚀市占率近期目标CCP刻蚀市占率L公司A公司中微75%L公司A公司现有ICP刻蚀市占率近期目标ICP刻蚀市占率屹唐股份:积极布局干法刻蚀 根据Gartner统计数据,在干法刻蚀领域,

36、公司2020年凭借0.1%的市场占有率位居全球第十,而前三大厂商泛林半导体、东京电子及应用材料合计占有全球干法刻蚀设备领域90.24%的市场份额。公司的干法刻蚀设备主要可用于65纳米到5纳米逻辑芯片、1y到2x纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产。新型半导体刻蚀设备的技术研发:公司计划开发出国际领先的新型等离子体刻蚀设备,用于先进芯片制造中的关键工艺应用,主要研究内容包括开发新的先进等离子体源技术和先进刻蚀反应腔设计,实现更广的工艺窗口、灵活的温度控制、精准的刻蚀速率控制、更好的选择比和更高深宽比的刻蚀。paradigmE系列等离子体刻蚀设备Nov

37、yka系列高选择比刻蚀和原子层级表面处理设备集成电路制造前道工序Novyka系列产品基于业界领先的远程电感耦合等离子体发生器工程设计,包括独立知识产权的接地法拉第屏蔽技术,具备等离子体密度高、等离子体电势低、电子温度低、工艺窗 口宽、化学系统多样化、等离子体性能稳定、颗粒污染少、耗材成本低、等离子体反应器无需置换等优势。另外,Novyka系列产品具备离子完全过滤能力、晶圆温度调节能力、晶圆偏压调节能力等能力,已实现量产销售。新品paradigmE系列刻蚀设备采取双晶圆反应腔、双反应腔产品平台设计。真空晶圆传送系统采取独特的四机械手设计,可实现反应腔和传输腔之间的超快速晶圆置换,实现高设备生产效

38、率。接地法拉第屏蔽电感耦合等离子体技术获得10余项全球专利保护,可以独立调整离子能量和离子密度,覆盖传统电感耦合等离子体ICP和电容耦合等离子体CCP刻蚀工艺的离子能量范围,同时有效避免因等离子体引发的器件损伤,提高刻蚀制程中不同材料的选择比,扩大产品工艺应用领域。独特的等离子体发生器设计可以进一步有效减小等离子体刻蚀对反应腔壁的损伤,降低机台损耗品成本和综合持有成本。资料来源:Gartner,屹唐股份招股书,方正证券研究所整理27相关公司估值资料来源:Wind,方正证券研究所整理28注:上述公司的归母净利润预测值均采用Wind一致预期值。2023年5月10日证券代码证券简称市值(亿元)归母净

39、利润(亿元)市盈率(倍)TTM2023E2024E2025ETTM2023E2024E2025E002371.SZ北方华创1,533.08 27.38 32.35 43.99 57.19 55.99 47.39 34.85 26.81 688012.SH中微公司1,030.54 13.28 14.26 18.08 22.26 77.60 72.28 57.01 46.29 688409.SH富创精密187.06 2.44 3.33 4.93 6.80 76.59 56.22 37.96 27.50 300666.SZ江丰电子167.11 2.88 3.86 5.26 6.53 58.08 43

40、.31 31.79 25.58 300260.SZ新莱应材146.09 3.19 4.48 6.33 7.81 45.83 32.61 23.06 18.71 300820.SZ英杰电气154.14 3.40 4.74 6.43 8.24 45.29 32.52 23.96 18.70 688103.SH国力股份50.85 0.85 1.74 2.81 3.87 59.97 29.16 18.12 13.15 风险提示 下游扩产不及预期;技术发展不及预期;零部件短缺影响出货;贸易争端风险。29分析师声明作者具有中国证券业协会授予的证券投资咨询执业资格,保证报告所采用的数据和信息均来自公开合规渠

41、道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。研究报告对所涉及的证券或发行人的评价是分析师本人通过财务分析预测、数量化方法、或行业比较分析所得出的结论,但使用以上信息和分析方法存在局限性。特此声明。免责声明本研究报告由方正证券制作及在中国(香港和澳门特别行政区、台湾省除外)发布。根据证券期货投资者适当性管理办法,本报告内容仅供我公司适当性评级为C3及以上等级的投资者使用,本公司不会因接收人收到本报告而视其为本公司的当然客户。若您并非前述等级的投资者,为保证服务质量、控制风险,请勿订阅本报告中的信息,本资料难以设置访问

42、权限,若给您造成不便,敬请谅解。在任何情况下,本报告的内容不构成对任何人的投资建议,也没有考虑到个别客户特殊的投资目标、财务状况或需求,方正证券不对任何人因使用本报告所载任何内容所引致的任何损失负任何责任,投资者需自行承担风险。分析师声明与免责声明本报告版权仅为方正证券所有,本公司对本报告保留一切法律权利。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,不得将报告内容作为诉讼、仲裁、传媒所引用之证明或依据,不得用于营利或用于未经允许的其它用途。如需引用、刊发或转载本报告,需注明出处且不得进行任何有悖原意的引用、删节和修改。公司投资评级的说明强烈推

43、荐:分析师预测未来半年公司股价有20%以上的涨幅;推荐:分析师预测未来半年公司股价有10%以上的涨幅;中性:分析师预测未来半年公司股价在-10%和10%之间波动;减持:分析师预测未来半年公司股价有10%以上的跌幅。行业投资评级的说明推荐:分析师预测未来半年行业表现强于沪深300指数;中性:分析师预测未来半年行业表现与沪深300指数持平;减持:分析师预测未来半年行业表现弱于沪深300指数。分析师声明与免责声明THANKS专注专心专业方正证券研究所北京市 西城区展览路48号新联写字楼6层上海市 静安区延平路71号延平大厦2楼深圳市 福田区竹子林紫竹七道光大银行大厦31层广州市 天河区兴盛路12号楼 隽峰苑2期3层方正证券长沙市 天心区湘江中路二段36号华远国际中心37层联系人:吴文吉邮箱:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业专题报告:刻蚀工艺双子星大马士革&极高深宽比-230511.pdf)为本站 (山哈) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
相关报告
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部