《存储行业深度报告:AI服务器存储量价齐升算力需求推动HBM市场数倍增长-231122(81页).pdf》由会员分享,可在线阅读,更多相关《存储行业深度报告:AI服务器存储量价齐升算力需求推动HBM市场数倍增长-231122(81页).pdf(81页珍藏版)》请在三个皮匠报告上搜索。
1、 敬请阅读末页的重要说明 证券研究报告|行业深度报告 2023 年 11 月 22 日 推荐推荐(维持)(维持)存储行业深度存储行业深度报告报告 TMT 及中小盘/电子 AI 算力升级带动服务器的算力升级带动服务器的 CPU 迭代并提升迭代并提升 GPU 需求,带动需求,带动 AI 服务器存储容服务器存储容量和价值量较传统服务器数倍增长。量和价值量较传统服务器数倍增长。训练型训练型 AI 服务器中服务器中 GPU 承担大部分算力,承担大部分算力,算力算力要求推动了要求推动了 HBM 等新型存储器等新型存储器超百亿超百亿美元新兴市场,进而美元新兴市场,进而提升提升 Bumping、TSV、CoW
2、oS 等等先进封装工艺需求先进封装工艺需求,并带来并带来减薄、键合、减薄、键合、模塑、模塑、测试等设备以测试等设备以及及 EMC、电镀液、电镀液、PSPI 等材料的等材料的增量增量需求需求。叠加国内自主可控需求叠加国内自主可控需求持续增长持续增长,国内存储及国内存储及 HBM 等催生的先进封装产业链发展空间巨大等催生的先进封装产业链发展空间巨大。AI 服务器服务器 CPU 和和 GPU 随算力需求而升级,对存储器容量和价值量均有数倍随算力需求而升级,对存储器容量和价值量均有数倍拉动。拉动。传统服务器以 CPU 作为算力核心,随着 AI 训练模型的算力要求不断提升,CPU 的核心数、主频、线程数
3、量均不断提升,但仅靠 CPU 已经无法满足算力需求,需要搭配 GPU 进行多线程数据处理,主流训练型服务器一般搭配 8 个 GPU。AI 服务器用到的主要存储器包括 CPU 内存、GPU 显存和硬盘 NAND 等,存储器容量和价值量均较普通服务器有数倍提升,1)DRAM:英伟达训练型 AI 服务器中的 CPU DRAM 容量高达 2TB,另外单个 GPU 一般搭载 80GB 以上的 HBM 存储器,AI 服务器 HBM 总容量预计超 640G,总内存容量相较普通服务器有 4-8 倍的提升,仅 CPU 内存价值量预计有 5 倍的提升,GPU 的 HBM 则为纯增量市场;另外,服务器内存也在不断迭
4、代,目前普通的服务器均多配备 DDR4,但最先进的 AI 服务器已经搭配了 DDR5 或LPDDR5;2)NAND:AI 服务器的硬盘容量高达 30TB,相较传统服务器提升 2-4 倍,另外传统服务器同时使用机械硬盘和固态硬盘(SSD),但 AI 服务器基本全部使用 SSD,整体价值量较普通服务器预计提升 10 倍左右。HBM 能够突破能够突破训练型训练型 AI 服务器的服务器的 GPU 带宽极限,带宽极限,2024 年增量空间预计超年增量空间预计超百亿美元百亿美元。HBM(High Bandwidth Memory)即高带宽存储器,是基于 2.5/3D封装技术的一种新型 CPU/GPU 内存
5、芯片,将 DRAM Die 垂直堆叠,Die 之间通过 TSV 的方式连接。HBM 能够以低功耗产生高带宽,因此广泛搭配训练型 AI 服务器的 GPU 使用,训练型 AI 服务器对 HBM 需求的拉动主要体现在:1)AI 服务器搭载服务器搭载 GPU 数量的提升数量的提升:由普通服务器的 2 个提升至目前的8 个;2)单个)单个 GPU 搭载搭载 HBM Stack 数量的提升:数量的提升:在 HBM1 方案中,单个GPU 搭载 4 个 HBM1,而在目前 HBM2e 或 HBM3 方案中,一般单个 GPU搭配 6 个 HBM Stack;3)HBM 堆叠的堆叠的 DRAM 层数和容量增多:层
6、数和容量增多:从 HBM1到 HBM3,单个 DRAM Die 密度从 2Gb 提升至 16Gb,堆叠高度从 4Hi 提升至 12Hi,单个 HBM 叠层容量从 1GB 提升至 24GB。Trendforce 预计 2025年全球服务器出货量为 1700 万台,当前 AI 服务器渗透率大概不足 2%,假设2024 年 AI 服务器渗透率约 4%,按照每个 AI 服务器搭载 8 个 GPU、每个GPU 搭载 6 个共 80GB 至 100GB 及以上的 HBM Stack 的方案测算,那么2024 年 AI 服务器带来的 HBM 增量空间预计超百亿美元。AI 服务器的服务器的 GPU 采用采用
7、2.5D+3D 封装工艺,推动封装工艺,推动 TSV、CoWoS 等核心封装等核心封装技术需求。技术需求。HBM 和 GPU 采用 2.5D+3D 封装工艺,根据 Yole,2021 年 HBM和 Si 中介层封装市场规模合计约 14 亿美元,预计 2027 年增至 35 亿美元,其中 HBM 和硅中介层封装市场分别增至 16.3 和 18.8 亿美元。TSV(Through-Silicon Via)即硅通孔技术,顺应 2.5D 封装架构而产生,能够以 行业规模行业规模 占比%股票家数(只)484 9.2 总市值(十亿元)7599.2 9.3 流通市值(十亿元)5976.7 8.4 行业指数行
8、业指数%1m 6m 12m 绝对表现 9.3 4.7 3.7 相对表现 7.3 13.9 8.7 资料来源:公司数据、招商证券 相关相关报告报告 1、半导体行业月度深度跟踪:需求回暖叠加库存改善,半导体产业链景气复苏趋势明显2023-11-07 2、三星电子 23Q3 跟踪报告:存储芯片触底复苏,看好 2024年手机等多终端需求改善2023-11-02 3、SK 海力士 23Q3 跟踪报告:存储行业全面复苏,指引 AI 服务 器 和 HBM高 速 增 长 2023-11-01 4、美光 FY23Q4 跟踪报告:存储价格已逐步触底,2024 年供 需 关 系 将 大 幅 改 善 2023-10-
9、09 5、存储行业跟踪报告:存储拐点曙光初现,自主可控持续加速2023-04-04 鄢凡鄢凡 S02 曹辉曹辉 S01 -15-10-505101520Nov/22Mar/23Jul/23Oct/23(%)电子沪深300AIAI 服务器服务器存储量价齐升存储量价齐升,算力算力需求推动需求推动 HBMHBM 市场市场数倍增长数倍增长 敬请阅读末页的重要说明 2 行业深度报告 最低的能耗提供极高的带宽和密度,是实现电路小型化、高密度、多功能化的首选解决方案。2.5D TSV 技术已经广泛用于 AI GPU 基板上的 HBM 中,实现 DRAM 各层 Di
10、e 之间的连接,以及 HBM 芯片和下方的金属凸块之间的连接。CoWoS 工艺用于将 HBM 和硅中介层、封装基板等进行整体封装,当前台积电处于领先地位,伴随着谷歌 TPU、英伟达 GPU、AMD MI300 等均导入生成式 AI,台积电 CoWoS 需求自 2022 年以来翻倍增长,目前持续供不应求,展望 2024 年将目前 CoWoS 产能翻倍。HBM 多层多层堆叠结构提升工序步骤,将带动封装设备和材料需求持续提升。堆叠结构提升工序步骤,将带动封装设备和材料需求持续提升。1)设备:设备:HBM 中大量增加前道工序,前道检、量测设备主要增量来自微凸点、TSV、硅中介层等工艺,另外 HBM 中
11、增加的预键合晶圆级测试和 KGSD 相关的封装级测试也带动分选机、测试机、探针台等后道测试设备的数量和精度提升;HBM 堆叠结构增多,要求晶圆厚度不断降低,进而提升减薄、键合等设备需求;HBM 多层堆叠结构要求超薄晶圆和铜-铜混合键合工艺,增加了临时键合/解键合以及混合键合设备需求,各层 DRAM die 的保护材料也非常关键,对注塑或压塑设备提出较高要求;另外,诸如划片机、固晶机、回流焊机/回流炉等传统设备需求也均受益于 HBM 封装带来的工艺步骤提升和工艺变革带来的价值量提升;2)材料:)材料:HBM 中芯片间隙采用 GMC(颗粒状塑封料)或 LMC(液态塑封料)进行填充,GMC 最主要原
12、材料为球形硅微粉和球形氧化铝;HBM 采用底部填充胶用于 FC 封装工艺,采用 PSPI 作为硅中介层中 RDL 的再钝化层;HBM 中的 Bumping、RDL、TSV 等引入前道工艺,带来电镀液用量提升;另外,HBM 也将提升电子粘合剂、封装基板、压敏胶带等其他材料需求。投资建议。投资建议。相较传统服务器,AI 服务器的存储器容量和价值量均提升数倍,其中训练型 AI 服务器 GPU 对带宽要求显著提升,催生了 HBM 等新型存储器的增量需求。当前 DRAM、NAND、HBM 等份额均主要由海外原厂如三星、美光、SK 海力士等占据,HBM 的 CoWoS 封装工艺主要由台积电掌握,但考虑到
13、AI 对整个存储产业链的拉动,叠加行业需求持续复苏、国产自主可控需求持续提升,国内存储及 HBM 等催生的先进封装产业链发展空间巨大。建议关注先进封装设备标的中科飞测、北方华创、中微公司、拓荆科技、华海清科、盛美上海、芯源微、精测电子、芯碁微装、文一科技、至正股份、新益昌、光力科技、德龙激光、赛腾股份、耐科装备、亚威股份、劲拓股份、迈为股份、奥特维、长川科技、华峰测控、金海通等;先进封装材料标的鼎龙股份、安集科技、雅克科技、强力新材、天承科技、华海诚科、联瑞新材、壹石通、飞凯材料、德邦科技、兴森科技、生益科技、深南电路、神工股份、上海新阳、华正新材、方邦股份、回天新材、国风新材等;先进封装标的
14、长电科技、通富微电、华天科技、深科技、太极实业、甬矽电子等;存储芯片标的兆易创新、紫光国微、复旦微电、北京君正、聚辰股份、普冉股份、东芯股份、恒烁股份、上海贝岭等;存储模组及主控标的江波龙、佰维存储、朗科科技、德明利、国科微等;存储经销标的香农芯创、雅创电子等;存储及 HBM 配套标的国芯科技、澜起科技、创益通等。风险提示:风险提示:AI 服务器渗透率提升不及预期、存储行业复苏不及预期、国产替服务器渗透率提升不及预期、存储行业复苏不及预期、国产替代进程不及预期、研发进展不及预期的风险。代进程不及预期、研发进展不及预期的风险。敬请阅读末页的重要说明 3 行业深度报告 表表 1:AI 服务器服务器
15、存储存储及先进封装产业链及先进封装产业链上市上市标的标的 产业环节产业环节 公司公司 海外标的 存储原厂:SK 海力士、三星、美光 设备:Camtek、Onto、AMAT、KLA、DISCO、SUSS、EVG Group、BESI、TOWA、YAMADA、ASMPT 等 材料:住友电木、昭和电工、美国杜邦、汉高、Cabot 等 先进封装相关设备 中科飞测、北方华创、中微公司、拓荆科技、华海清科、盛美上海、芯源微、精测电子、芯碁微装、文一科技、至正股份、新益昌、光力科技、德龙激光、赛腾股份、耐科装备、亚威股份、劲拓股份、迈为股份、奥特维、长川科技、华峰测控、金海通等 先进封装相关材料 鼎龙股份、
16、安集科技、雅克科技、强力新材、天承科技、华海诚科、联瑞新材、壹石通、飞凯材料、德邦科技、兴森科技、生益科技、深南电路、神工股份、上海新阳、华正新材、方邦股份、回天新材、国风新材等 先进封装 长电科技、通富微电、华天科技、深科技、太极实业、甬矽电子等 存储芯片 兆易创新、紫光国微、复旦微电、北京君正、聚辰股份、普冉股份、东芯股份、恒烁股份、上海贝岭等 存储模组 及主控 江波龙、佰维存储、朗科科技、德明利、国科微等 存储经销 香农芯创、雅创电子等 存储配套 国芯科技、澜起科技、创益通等 资料来源:公司官网,招商证券整理 以下标的为机械组覆盖:以下标的为机械组覆盖:光力科技、赛腾股份、亚威股份、劲拓
17、股份、迈为股份、奥特维、华峰测控;以下标的为化工组覆盖:以下标的为化工组覆盖:鼎龙股份、雅克科技、强力新材、联瑞新材、壹石通、飞凯材料、回天新材、国风新材;以下标的为电子以下标的为电子&机械组联合:机械组联合:耐科装备、长川科技、金海通;以下标的为电子以下标的为电子&化工化工组联合:组联合:安集科技、华海诚科、德邦科技。敬请阅读末页的重要说明 4 行业深度报告 正文正文目录目录 一、一、AI 服务器相较普通服务器算力明显提升,存储量价均有数倍增长空间服务器相较普通服务器算力明显提升,存储量价均有数倍增长空间.9 1、传统服务器采用 CPU 作为算力来源,一般配置 DDR4 内存和 SATA/S
18、AS 等 类型 SSD.9 2、AI 服务器中 CPU+GPU 协同工作,存储容量和价值量相较传统服务器均有数 倍提升.12 3、存算一体突破存储和功耗“双墙”瓶颈,催生 2.5/3D 封装及新型存储器需求16 二、二、HBM 新型存储器突破带宽极限,训练型新型存储器突破带宽极限,训练型 AI 服务器推动超百亿美金增量市场服务器推动超百亿美金增量市场.19 1、HBM 用于高带宽和高容量场景中,已普遍搭配主流 AI 训练芯片使用.19 2、HBM 容量、带宽、速度持续升级,训练型 AI 服务器将带来 HBM 超百亿美元 增量市场.21 三、三、HBM 采用采用 2.5D+3D 封装,核心工艺包
19、括封装,核心工艺包括 TSV、CoWoS 等等.26 1、HBM 和 Si 中介层封装远期市场预计数倍增长,核心封装工艺包括 Bumping、TSV、CoWoS 等.26 2、凸块制造(Bumping)是扇入/扇出、FC 等封装必要环节,推动沉积、涂胶 显影、电镀等前道工艺需求增长.28 3、TSV 用于 DRAM Die 之间及 HBM 和 Interposer 之间的互联,为电镀、键合 等工艺带来较多增量.30 4、CoWoS 用于英伟达 AI GPU 的整体封装,生成式 AI 有望推动 2024 年 CoWoS 需求翻倍以上增长.33 5、FC 工艺用于逻辑 die 与硅中介层连接,相较
20、传统引线键合方式大大缩短信号 路径.35 四、四、HBM 多层堆叠结构提升前后道工艺价值量,量测、电镀、键合等设备需求多层堆叠结构提升前后道工艺价值量,量测、电镀、键合等设备需求倍增倍增.37 1、测试:用于 Bump、TSV、KGSD 等环节,HBM 工艺步骤增多带来前、后道 测试需求提升.39(1)前道检/量测:核心增量来自 Bumping、TSV、RDL 工艺.39(2)后道测试:针对堆叠晶圆增加预键合测试,针对 KGSD 增加多种封装测试 流程.41 2、减薄:全球减薄设备市场空间超 8 亿美元,HBM 多层堆叠结构提升设备需求 .43 敬请阅读末页的重要说明 5 行业深度报告 3、互
21、连:超薄晶圆增加临时键合/解键合需求,混合键合市场有望指数级增长45 4、模塑:用于对芯片进行塑封保护,HBM 采用注塑或压缩式工艺进行塑封.48 五、五、HBM 提升提升 EMC、电镀液、电镀液、PSPI 等材料需求,等材料需求,CXL 搭配搭配 HBM 有望突破有望突破 CPU内存极限内存极限.50 1、环氧塑封料:用于保护芯片,GMC 和 LMC 及原材料均受益于 HBM 需求增 长.50 2、电镀液:用于形成 HBM 铜凸块、TSV 等结构,全球市场空间约 10 亿美元52 3、PSPI:用于 HBM 的硅中介层,形成 RDL 的再钝化层.53 4、封装基板:用于 HBM 硅中介层下方
22、,实现内部芯片与外部电路间的电气连接 .54 5、CXL:能够突破 CPU 内存极限,未来将越来越多搭配 HBM 使用.55 六、海外厂商较早布局六、海外厂商较早布局 HBM,三大存储原厂占据,三大存储原厂占据 HBM 主要市场份额主要市场份额.57 1、SK 海力士:开发 MR-MUF 技术,HBM 市场份额领先.57 2、三星:开创 I-Cube 及 X-cube 先进封装工艺,2024 年 HBM 产能将提高 2.5 倍.59 3、美光:计划通过 HBM3E 实现弯道超车,正开发 HBM Next 产品.61 七、投资建议七、投资建议.62 1、全球先进封装设备及材料份额主要被海外占据,
23、AI 需求激增带来部分厂商创 纪录的先进封装订单.62 2、HBM 等先进封装对工艺、设备、材料需求均提升,国内厂商在相关领域不断 导入.69 3、AI 服务器对存储容量有数倍拉动,行业边际复苏和自主可控趋势带动国内需 求增长.76 八、风险提示八、风险提示.79 图表图表目录目录 图 1:浪潮通用服务器 NF5280M6 逻辑架构.9 图 2:CPU 内存工作原理.10 图 3:n-bit prefetch 技术原理.10 图 4:DRAM 的演进过程.11 图 5:机械硬盘结构.11 敬请阅读末页的重要说明 6 行业深度报告 图 6:固态硬盘 SSD 结构.11 图 7:服务器中 RAID
24、 卡工作原理.12 图 8:RAID 5 结构.12 图 9:CPU 和 GPU 的资源分布对比.12 图 10:训练 Transformer 模型的计算要求.12 图 11:AI 训练模型的工作方式.13 图 12:Intel XEON CPU 技术路线图.13 图 13:英伟达 DGX H100 逻辑结构图.13 图 14:不同类型服务器成本占比.15 图 15:E5 高配 X86 服务器成本占比.15 图 16:存算一体技术发展趋势.17 图 17:HBM 结构图.19 图 18:HBM3 具备 12 层 DRAM die.19 图 19:HBM 和 GDDR 方案对比.20 图 20:
25、1GB HBM 和 GDDR5 芯片面积对比.20 图 21:GDDR5 和 HBM 对比.20 图 22:搭载 HBM1 的 GPU 基板结构图.22 图 23:DGX A100 GPU 基板结构图.22 图 24:英伟达改进前的 GH200 Grace Hopper.23 图 25:英伟达改进前的 GH200 Grace Hopper 结构图(单个 GPU 含 480GB LPDDR5X 和 96GB HBM3)24 图 26:英伟达 H200 Grace Hopper.24 图 27:HBM 结构图及用到的封装工艺.27 图 28:HBM 中 DRAM die 堆叠的微观结构.27 图
26、29:2021-2027 年高端封装工艺市场规模.28 图 30:凸块分布在整个芯片表面.28 图 31:凸块的微观结构(铜柱凸块).28 图 32:锡基无铅焊料和铜柱凸块结构对比.29 图 33:铜柱凸块工艺流程.30 图 34:HBM(4 层 DRAM+1 层逻辑)99.5%键合良率成本构成.31 图 35:HBM(4 层 DRAM+1 层逻辑)99%键合良率成本构成.31 图 36:TSV 工艺流程.32 图 37:TSV 制造成本构成(via-middle 工艺).32 敬请阅读末页的重要说明 7 行业深度报告 图 38:2.5/3D 封装示意图.33 图 39:HBM 中的 CoWo
27、S 工艺示意图.33 图 40:CoWoS-R 工艺.34 图 41:CoWoS-L 工艺.34 图 42:2021 年全球主要厂商用于高端高性能封装的市场规模.35 图 43:壁仞科技 BR-100 芯片采用 CoWoS 封装工艺.35 图 44:FC 封装较焊盘封装大大缩短信号路径.36 图 45:倒装键合回流焊工艺流程.36 图 46:HBM 工艺流程及设备需求.37 图 47:硅中介层工艺流程.38 图 48:半导体质量控制环节根据集成电路制造工艺的划分.39 图 49:2022 年全球半导体前道设备占比.40 图 50:全球半导体检测和量测设备市场规模.40 图 51:HBM 结构
28、Bumping 节点存在的问题.40 图 52:TSV 工艺中增加的检测和量测步骤.41 图 53:RDL 横截面和布线结构.41 图 54:普通 DRAM 和 HBM 测试流程对比.43 图 55:全球晶圆减薄机市场规模.44 图 56:2021-2025 年减薄后晶圆数量.44 图 57:多芯片封装结构中减薄后的芯片厚度.45 图 58:W2W 键合过程及后续工艺流程.45 图 59:晶圆键合设备流程.45 图 60:D2W 键合工艺.46 图 61:采用微凸块和混合键合工艺对比.47 图 62:引线键合采用的传递式模塑工艺.48 图 63:多叠层封装采用的压缩式模塑工艺.49 图 64:
29、EMC 应用场景.50 图 65:MR-MUF 技术的焊接温度(Tj)比 TC-NCF 技术低 14.51 图 66:铝互联和铜互联需要的不同工艺流程.53 图 67:CoWoS 工艺 RDL 布线中的 PSPI.54 图 68:经过封装工艺处理过的基板.55 图 69:CXL 工作原理.56 敬请阅读末页的重要说明 8 行业深度报告 图 70:CXL 连接的三类设备.56 图 71:海外厂商 HBM 产品技术路线规划.57 图 72:SK 海力士最新封装技术.58 图 73:回流焊工艺中产生的热翘曲和自由偏移问题.59 图 74:I-Cube S.60 图 75:I-Cube E.60 图
30、76:X-Cube(微凸块方案).60 图 77:X-Cube(铜混合键合方案).60 图 78:三星 HBM4 路线图.60 图 79:美光 HBM 路线图.61 图 80:Camtek 营收及增速.63 图 81:2022 年 Camtek 营收结构.63 图 82:Camtek 主要客户.63 图 83:BESI 产品矩阵.64 图 84:BESI 面向的市场及份额.65 图 85:SUSS 产品应用.66 图 86:TOWA CPM1080 全自动塑封机.67 图 87:日本住友化学模塑料和零件产品.69 图 88:DXI 指数.76 敬请阅读末页的重要说明 9 行业深度报告 一、一、
31、AI 服务器相较普通服务器算力明显提升,存储服务器相较普通服务器算力明显提升,存储量价均有数倍增长空间量价均有数倍增长空间 1、传统服务器采用、传统服务器采用 CPU 作为算力来源,一般配置作为算力来源,一般配置 DDR4内存和内存和 SATA/SAS 等类型等类型 SSD 传统服务器以传统服务器以 CPU 作为算力来源,采用提高核心数来提升算力作为算力来源,采用提高核心数来提升算力。传统服务器组件包括 CPU、内存、硬盘、RAID 卡、网卡等,搭配 BMC 管理系统,以及电源、主板、机箱等基础硬件。按照外形分类,服务器可分为塔式、刀片、机架和高密度服务器,按照处理器数量,可分为单路、双路及多
32、路。传统服务器以 CPU 提供算力,擅长逻辑和浮点型计算等,服务器的算力提升主要依靠 CPU 增加核心数量。图图 1:浪潮通用服务器:浪潮通用服务器NF5280M6逻辑架构逻辑架构 资料来源:浪潮信息官网,招商证券 CPU 和内存是普通服务器逻辑架构中最重要的部分,等效频率是内存的核心指和内存是普通服务器逻辑架构中最重要的部分,等效频率是内存的核心指标。标。在服务器中,CPU 负责对数据进行逻辑运算,随着 CPU 主频、核心和线程数量的不断提升,CPU 运算处理的速度已经远超硬盘的读写速度,因此需要 CPU内存作为桥梁来暂时存放 CPU 中的运算数据,并与硬盘等外部存储器交换数据,敬请阅读末页
33、的重要说明 10 行业深度报告 CPU 和内存之间通过内存总线进行通信。由于内存是能够传输和储存数据的中转站,传输速度和处理性能尤其重要,核心指标为频率。内存的频率分为三种,核心频率:核心频率:指内存颗粒核心的时钟频率,核心频率是固定的;工作频率:工作频率:指内存颗粒总线的时钟频率;等效频率:等效频率:指内存实际的数据传输率,也被称为内存速率。图图2:CPU 内存工作原理内存工作原理 图图3:n-bit prefetch 技术原理技术原理 资料来源:美科安防科技、招商证券 资料来源:华为云官网、招商证券 服务器的服务器的 DRAM 类型类型伴随伴随 CPU 而而升级,升级,目前服务器标配目前服
34、务器标配 DDR4 或或 DDR5。SDRAM 最早出现,为了与 CPU 的计时同步而设计,在一个周期内,SDRAM只能在升的时候进行数据传输,即只能读写一次;改进后的 DDR 能够在升和降两个阶段进行数据传输,所以等效频率相较 SDRAM 增加 1 倍;DDR1 到 DDR3的升级主要在于预读取的能力,预读取从 1bit 上升至 8bit,每进步一代,预读取能力增加一倍,相当于一次读写的数据数量增加一倍,即工作频率翻倍;相较DDR3,DDR4 采用了 2-4 个 Bank Group 组的设计,即在同一脉冲工作周期内,DDR4 最多可以处理 2-4 组数据,相当于等效工作频率提升 2-4 倍
35、;DDR5 将预读取数提升至 16bit,等效频率再次翻倍。从当前服务器型号来看,传统服务器的 CPU 内存一般标配 DDR4,最先进的 AI 服务器 CPU 则配备了 DDR5 或LPDDR5。表表 2:各代:各代 DDR 型号对比型号对比 规格规格 内核频率(内核频率(MHz)工作频率(工作频率(MHz)预读取预读取 等效频率(等效频率(MT/s)传输速率(传输速率(GB/s)电压(电压(V)SDRAM 133/166/200 133/166/200 1n 133-166 0.8-1.3 3.3 DDR 133/166/200 133/166/200 2n 266/333/400 2.1-
36、3.2 2.5-2.6 DDR2 133/166/200 266/333/400 4n 533/667/800 4.2-6.4 1.8 DDR3 133/166/200 533/664/800 8n 1066/1333/1600 8.5-14.9 1.35/1.5 DDR4 133/166/200 1066/1333/1600 8n 2133/2666/3200 17-21.3 1.2 DDR5 133/166/200 3200 16n 6400 最大 67.2 1.1 资料来源:美光、三星等官网,招商证券 GDDR 在在 DDR 的基础上发展形成,主要用于的基础上发展形成,主要用于 PC 端
37、的显卡。端的显卡。GDDR/GDDR2 基于原有的内存技术,但无法满足 GPU 对高性能存储的需求,进而推动了 GDDR3作为第一款专为 GPU 而设计的存储器的诞生。GDDR3 采用 4-bit 预读取,能够实现读写操作的快速切换;GDDR4 采用 8-bit 预读取技术,但由于 NVIDIA 不愿跟进,最终并未得到广泛应用;GDDR5 使用了双 DQ 总线,实现了双倍的接口带宽,自 GDDR5 开始,GDDR 广泛用于 PC 显卡中,但由于传统服务器中不配备 GPU,因此 GDDR 并未在传统服务器中得到使用。敬请阅读末页的重要说明 11 行业深度报告 图图 4:DRAM 的演进过程的演进
38、过程 资料来源:CSDN、维基百科,招商证券整理 服务器硬盘服务器硬盘主要包括主要包括 HDD 和和 SSD,SSD 能够大幅提升服务器性能能够大幅提升服务器性能。目前服务器的硬盘主要包括 SATA/SAS 等机械硬盘(HDD)以及固态硬盘(SSD)等,HDD 主要由机械驱动,包括马达、盘片、磁头摇臂等部件,尽管容量不断提升,但磁盘记录方式并未改变,存储系统的发展越来越落后于 CPU 的发展速度;SSD固态硬盘没有机械结构,主要由主控-内存-固件算法构成,SSD 以区块写入和擦除的方式实现读写的功能,具备低功耗、稳定性高、耐震耐低温等特点,可以大幅提升服务器性能,更适合工业自动化、嵌入式移动设
39、备和 AI 推理/训练服务器等应用。图图5:机械硬盘结构机械硬盘结构 图图6:固态硬盘固态硬盘 SSD 结构结构 资料来源:CSDN、招商证券 资料来源:什么值得买社区、招商证券 RAID 卡可实现对固态硬盘数据的冗余保护,已成为卡可实现对固态硬盘数据的冗余保护,已成为 AI 服务器的重要配置。服务器的重要配置。RAID(Redundant Array of Independent Disks),即独立硬盘冗余阵列,意为很多块独立磁盘构成的磁盘组,主要具备如下功能:通过对硬盘上数据的条带化,实现对数据成块存取,减少硬盘的机械寻道时间,提高数据存取速度;通过对一个阵列的几块硬盘同时读取,减少硬盘
40、的机械寻道时间,提高数据存取速度;通过镜像或存储奇偶校验信息的方式,实现对数据的冗余保护。由于硬件 RAID是采用集成的阵列卡或专用的阵列卡来控制硬盘驱动器,因此可以极大节省服务器系统 CPU 和操作系统的资源,目前 RAID 卡已成为 AI 服务器的标配。敬请阅读末页的重要说明 12 行业深度报告 图图7:服务器中服务器中 RAID 卡工作原理卡工作原理 图图8:RAID 5 结构结构 资料来源:CSDN、招商证券 资料来源:CSDN、招商证券 2、AI 服务器中服务器中 CPU+GPU 协同工作协同工作,存储容量和价值量相存储容量和价值量相较传统服务器均有数倍提升较传统服务器均有数倍提升
41、AI 训练模型算力提升速度突破极限,目前训练模型算力提升速度突破极限,目前英伟达英伟达训练型训练型 AI 服务器一般配备服务器一般配备 8 个个GPU。随着以 chatGPT 为代表的 AI 的发展,训练 GPT-3、Megatron-Turing NLG 530B 等超大语言模型所要求的算力提升速度已经突破了后摩尔定律算力提升速度的极限,尽管 CPU 不断升级,但 CPU 制程以及单个 CPU 和核心数量接近极限,仅依靠 CPU 无法满足算力需求。CPU 的内核数量大约数十个,但 GPU 具备成千上万个 CUDA 核心,因此 GPU 多个内核决定了其能够在相同的价格和功率范围内,比 CPU
42、提供更高的指令吞吐量和内存带宽,GPU 能够并行执行成千上万个线程(摊销较慢的单线程性能以实现更大数据吞吐量)。在训练 AI 模型的过程中,需要同时对所有样本数据执行几乎相同的操作,GPU 架构设计能够很好满足 AI 场景需求。AI 服务器相较通用服务器的一个明显差别之一是增加了GPU,通用服务器一般含有 1-2 个 CPU、不含 GPU,而当前英伟达训练型 AI服务器一般搭载 8 个 GPU。图图9:CPU 和和 GPU 的资源分布对比的资源分布对比 图图10:训练训练 Transformer 模型的计算要求模型的计算要求 资料来源:英伟达、CSDN、招商证券 资料来源:英伟达、招商证券 敬
43、请阅读末页的重要说明 13 行业深度报告 AI 服务器服务器 GPU 需要需要 CPU 来进行指令,模型算力提升带动来进行指令,模型算力提升带动 CPU 核心、主频等提核心、主频等提升。升。在 AI 服务器的 GPU 模式下,模型训练一般分为 4 步,将输入数据从系统内存拷贝到显存;CPU 指示 GPU 处理数据;GPU 并行完成一系列计算;将计算结果从显存拷贝到内存。虽然 GPU 并行能力优异但无法单独工作,必须由 CPU 进行控制调用,CPU 可以独立工作并直接访问内存数据完成计算。因此在 AI 服务器中,GPU 和 CPU 需要协同工作,训练模型所需算力升级也将带动 CPU 技术升级,例
44、如在英伟达 DGX-2 服务器中,采用英特尔第三代至强处理器 8168,主频大约 2.7GHz,核心数量为 24 个;在英伟达 DGX H100 服务器中,搭载英特尔第四代至强处理器 8480C,主频提升至最高 3.8GHz,CPU 核心数量提升至大约 56 个。图图11:AI 训练模型的工作方式训练模型的工作方式 图图12:Intel XEON CPU 技术路线图技术路线图 资料来源:CSDN、招商证券 资料来源:Intel、招商证券 AI 服务器服务器存储器容量伴随存储器容量伴随 CPU/GPU 的升级而提升的升级而提升,相较传统服务器,相较传统服务器有数倍提有数倍提升升。最先进的 AI
45、服务器尽管增加了大量 GPU 需求,但存储器的数据存储方式、总线连接方式均和普通服务器相近,CPU 的运行数据写入 DRAM 中,CPU 和GPU 产生的数据共同写入 NAND 中。AI 服务器将提升内存、显存的工作频率和带宽等,带动存储容量明显上升。图图 13:英伟达:英伟达 DGX H100 逻辑结构图逻辑结构图 资料来源:英伟达,招商证券 敬请阅读末页的重要说明 14 行业深度报告 DRAM:AI 服务器服务器 CPU+GPU 相较普通相较普通/高性能服务器对高性能服务器对 DRAM 容量大约容量大约有有 3-8 倍的拉动。倍的拉动。当前服务器一般配 1-2 个 CPU,每个 CPU 一
46、般标配 DDR4内存,普通双路服务器用于打印、网络管理、数据记录等场景,一般配备1-2个CPU,每个CPU周围搭配大约10个内存插槽,按照单个DDR4 32GB容量计算,每个 CPU 搭配的内存容量大约不超过 320GB,系统容量不超过640GB;根据浪潮官网,高性能服务器用于涉及高密度计算的企业端,例如搭载双路 CPU 的 NF5280M6 型号服务器单个 CPU 插槽数量为 16 个,按照每个 DDR4 32GB、插满内存条计算,系统的内存容量可达 1TB;英伟达AI 服务器 CPU 均标配 2TB 的 DDR4/DDR5;另外,AI 服务器增加了 GPU的数量,每个 DGX A100 和
47、 H100 均需要搭配 80GB 容量的 HBM,系统整体需要 640GB 的容量。综上所述,从容量上看,按照普通服务器综上所述,从容量上看,按照普通服务器 CPU 内内存存 320-640GB 容量计算,容量计算,AI 服务器对服务器对 DRAM 容量的提升大约为容量的提升大约为 4-8 倍;倍;按照高性能服务器按照高性能服务器 CPU 内存内存 1TB 容量计算,容量计算,AI 服务器对服务器对 DRAM 容量的提容量的提升大约为升大约为 2.5-3 倍。倍。NAND:AI 服务器相较普通服务器相较普通/高性能服务器对高性能服务器对 NAND 容量大约有容量大约有 2-4 倍的拉倍的拉动。
48、动。由于机械硬盘持续进行成本优化,普通服务器依然会配备较多的机械硬盘,而高性能服务器和 AI 服务器对于存储速度、准确性等提出更高要求,因此主要配备固态硬盘。从容量上看,传统服务器 NP5570M5 最多支持 16块 2.5 英寸 SAS 硬盘或 4 块 3.5 英寸 SATA 硬盘,SAS 硬盘支持300GB/600GB/1TB/1.2TB/1.8TB/2.4TB 容量,SATA 硬盘支持 1/2/4/6/8TB容量,按照中间容量测算,系统硬盘容量大约共 8-16TB;高性能 NF5280M6型号最大支持 20 块 3.5 英寸硬盘,系统硬盘容量大约 20TB;AI 服务器采服务器采用的硬盘
49、容量大约用的硬盘容量大约 30TB,相较传统服务器,相较传统服务器 NAND 容量提升大约容量提升大约 2-4 倍。倍。表表 3:服务器型号对比:服务器型号对比 项目项目 浪潮通用型浪潮通用型 浪潮双路塔式浪潮双路塔式 浪潮高性能浪潮高性能 英伟达英伟达 AI 英伟达英伟达 AI 英伟达英伟达 AI 服务器型号 NF5270M5 NP5570M5 NF5280M6 DGX-2 DGX A100 DGX H100 SXM5 应用场景 电信、金融、企业等 邮件、文件、打印服务,网络管理,数据记录和管理、桌面虚拟化 各行业场景 云与数据中心 云与数据 中心 云与数据中心 CPU 型号 Xeon Si
50、lver 4214 英特尔双路 Xeon E5-26XX V3 系列 第三代英特尔至强可扩展处理器()英特尔 Xeon Platinum 8168 AMD Rome 7742 英特尔 Xeon Platinum 8480C CPU 数量 1-2 1-2 1-2 2 2 2 CPU 主频 2.2-3.2GHz 2.5GHz 最高 3.6GHz 2.7GHz 最高 3.4GHz 最高 3.8GHz CPU 核心 12 12 40 24 64 56 CPU 线程 24 24 80 48 128 112 CPU 内存类型 DDR4 DDR4 DDR4 DDR4 DDR4 最高 DDR5 最大插槽数量
51、16 个 20 个 32 个 32 个 32 个 32 个 最大等效频率 2666MT/s 2133MT/s 3200MT/s 2666MHz 3200MHz 2400MHz 总容量 最大 1TB 最大 640GB 随 DDR 容量变化 2TB 2TB 2TB GPU 型号/Tesla V100 A100 H100 GPU 数量/16 8 8 GPU 显存类型/HBM2 HBM2E HBM3 数量/每个 GPU 配4 个 每个 GPU 配6 个 每个 GPU 配 6 个 总容量/512GB 640GB 640GB 敬请阅读末页的重要说明 15 行业深度报告 项目项目 浪潮通用型浪潮通用型 浪潮
52、双路塔式浪潮双路塔式 浪潮高性能浪潮高性能 英伟达英伟达 AI 英伟达英伟达 AI 英伟达英伟达 AI 硬盘 前部最大支持 25 块2.5 英寸SAS/SATA/SSD 硬盘或 12 块 3.5 英寸SAS/SATA/SSD 硬盘,前部最大支持 4块 NVMe SSD;后部最大支持 2 块SAS/SATA/SSD/M.2 SATA SSD 最大支持 16 块 2.5寸 SAS 硬盘或者SATA SSD 或 4 块3.5 寸 SATA 硬盘 最大支持 20块 3.5 英寸硬盘或39块2.5英寸 NVMe SSD 硬盘 操作系统:960GB NVMe SSD 内部存储:30TB(8*3.84TB)
53、NVMe SSD 操作系统:2个 1.92TB NVME SSD 内部存储:15TB(4*3.84TB)NVMe SSD,可扩展至30TB 操作系统:2 块1.9TB NVMe M.2 SSD 内部存储:8*3.84TB NVMe U.2 SSD 资料来源:浪潮信息和英伟达官网,招商证券 AI 服务器的服务器的 GPU 和存储价值量均明显提升,和存储价值量均明显提升,GPU 价值量占比最高。价值量占比最高。1)基础型服务器:)基础型服务器:根据 IDC,基础型服务器中 CPU、内存和硬盘的占比最高,根据英特尔,典型的 x86 服务器E5 高配服务器的成本中硬盘、CPU 和内存成本占比分别为 3
54、1%、28%和 21%。例如浪潮 NF5270M5 售价大约 39000 元,采用 2 颗 Xeon Silver 4214 CPU,每颗售价大约 1000 美金,CPU 成本占比大约32%;配置 16 个 32GB DDR4,按单 GB 成本 3 美金计算,DRAM 成本占比大约 26%;最大支持 25 块 2.5 英寸硬盘,按照每块硬盘 512GB、1TB SATA 40美元计算,硬盘总价值量占比大约 20%;2)AI 服务器:服务器:以英伟达 DGX A100 系统为例,售价大约 19.5 万美金,含有 2颗 AMD Rome 7742,每颗售价大约 7000 美金,价值量占比大约 7%
55、;含有 8颗A100 GPU,每颗GPU售价大约1-1.5万美元,GPU价值量占比大约40-50%;CPU 采用 2TB 的 DDR4,价值量占比大约 3-4%;GPU 配置共 640GB HBM2E,假设单 GB 价值量 15-20 美金,价值量占比大约 5-8%;操作系统配备 2 块 1.9TB SSD,内部配备 8 块 3.84TB SSD,按照 1 块 1.92TB 数据中心 SSD 650 美元、1 块 3.84TB 数据中心 SSD 1200 美元测算,硬盘价值占比大约 6%。综上所述,从价值量来看,综上所述,从价值量来看,AI 服务器中服务器中 CPU 内存和内存和 SSD 的价
56、值量相较于普通的价值量相较于普通双路服务器预计均提升双路服务器预计均提升 5 倍左右,倍左右,GPU 显存为纯增量市场。显存为纯增量市场。图图14:不同类型服务器成本占比不同类型服务器成本占比 图图15:E5 高配高配 X86 服务器成本占比服务器成本占比 资料来源:IDC、招商证券 资料来源:英特尔、招商证券 0%10%20%30%40%50%60%70%80%90%100%基础型高性能型机器学习型CPUGPU内存硬盘其他31%28%21%8%7%5%硬盘CPU内存主板RAID+显卡其他 敬请阅读末页的重要说明 16 行业深度报告 表表 4:AI 服务器价值增量测算服务器价值增量测算 项目项
57、目 双路双路 NF5270M5 DGX A100 价值倍数价值倍数 总价格 39000 元(5700 美元)195000 美元 34x CPU 2000 美元 14000 美元 7x CPU占比 32%7%GPU/80000120000 美元/GPU占比/4060%CPU-内存 1500 美元 7000 美元 5x 内存占比 26%4%GPU-显存/15000 美元 显存占比/8%硬盘 HDD/SSD 1000 美元 10000 美元 10 x 硬盘占比 20%6%资料来源:CF 闪存市场、AMD、Intel、英伟达,招商证券,注:通用和 AI 服务器不同型号配置差距很大,另外服务器的内存插槽
58、和硬盘也可选配不同数量,因此按照通用服务器和 AI 服务器的一般配置来对比 3、存算一体突破存储和功耗“双墙”瓶颈,催生、存算一体突破存储和功耗“双墙”瓶颈,催生 2.5/3D 封封装及新型存储器需求装及新型存储器需求 传统服务器传统服务器计算芯片与存储器采用并行架构,“存储墙”和“功耗墙”分别限制计算芯片与存储器采用并行架构,“存储墙”和“功耗墙”分别限制数据访问速度提升和数据访问能耗下降数据访问速度提升和数据访问能耗下降。在冯-诺依曼架构中,计算和存储功能分别由 CPU 和存储器完成,数据从存储单元外的存储器中获取,处理完毕后再写回存储器,计算核心与存储器之间有限的总带宽直接限制了交换数据
59、的速度,处理器速度和访问速度的差异进一步减缓处理速度。一方面,处理器和存储器制程、工艺不同,性能差距逐渐增大,存储器数据访问速度远低于 CPU 的数据处理速度,即形成“存储墙”;另一方面,数据搬运的能耗比浮点计算高 1-2 个数量级,DRAM 的访问功耗可达芯片内一级功耗的 50-100 倍,进一步增加了数据访问功耗。存算一体架构可部分解决“双墙”问题,存算一体架构可部分解决“双墙”问题,主要包括近存计算和存内计算主要包括近存计算和存内计算。1)近)近存计算:存计算:主要包括光互联、2.5/3D 封装等形式,其中 2.5/3D 封装可以提高系统集成度,增大并行带宽或利用串行传输提升存储带宽。典
60、型的产品形态为高带宽典型的产品形态为高带宽内存(内存(High Bandwidth Memory,HBM),),将内存颗粒通过硅通孔(TSV)多层堆叠实现存储容量提升,同时基于硅中介板的高速接口与计算单元互联提供高带宽存储服务;2)存内计算:)存内计算:主要包括存储内计算(In-Storage Computing,ISC),即在固态硬盘中等加入 FPGA、ARM 处理核等计算单元,承担如数据压缩、搜索、视频文件转码等本地处理,减少远端处理器如 CPU 的负载;内存内计算(In-Memory Computing,IMC),数据直接在内存中计算后返回,通过将存储层和逻辑层堆叠实现高带宽计算。典型产
61、品形态包括 HBM-PIM 和PIM-DIMM,在 DRAM die 中内置 AI 等处理单元,提供大吞吐低延迟片上处理能力。敬请阅读末页的重要说明 17 行业深度报告 图图 16:存算一体技术发展趋势:存算一体技术发展趋势 资料来源:亿铸科技,招商证券 存内计算电路可基于易失性和非易失性存储器实现,未来存内计算电路可基于易失性和非易失性存储器实现,未来 RRAM、MRAM 等新等新型存储器件有望得到更多应用。型存储器件有望得到更多应用。易失性存储器包含 SRAM 等,非易失存储器包含 NOR Flash、阻变随机存储器(Resistive Random Access Memory,RRAM)
62、、磁性随机存储器(Magnetoresistive Random Access Memory,MRAM)、相变存储器(Phase Change Memory,PCM)等。SRAM:常用于 CPU 中的缓存,其读写速度快、无读写次数限制,且工艺成熟,器件的一致性和稳定性较好,缺点是价格相对昂贵、多晶体管单元结构下存储密度较低、需要通电以保持数据,因此芯片面积大、功耗较高;NOR:基于 NOR 的存内计算芯片具有存储密度大、工艺成熟、成本低等优点,业内主要利用其多比特存储特性进行模拟存内计算,相关产品在智能终端与边缘场景实现小批量商用。目前 NOR 的问题在于工艺微缩存在一定瓶颈,可能需要 2.5
63、/3D 封装工艺配合扩展性能;阻变随机存储器(阻变随机存储器(RRAM):):基本存储单元一般为金属-绝缘体-金属/半导体结构,通过在电极层施加电压/电流,电阻转变层的电阻值可以实现高阻态和低阻态的切换,并可实现多级电阻状态,从而存储多比特信息。基于 RRAM的存内计算芯片制备工艺简单、工艺成本低、支持多比特存储,但目前在器件一致性和准确性等方面需要提高;磁性存储器(磁性存储器(MRAM):):利用磁隧道磁阻效应实现电阻状态改变,从而存储信息,具有非易失、访问速度快、读/写次数高等优点,具备较高的可靠性和稳定性,但目前成熟度较低,功耗、速度和耐久性等指标有待提升;相变存储器(相变存储器(PCM
64、):):可以介于完全多晶态和完全非晶态之间的多种状态,以此实现多值存储,具备存储密度高、多比特存储、支持 3D 堆叠等优点,但 PCM 存在写入功耗较大、擦写次数较少等问题。敬请阅读末页的重要说明 18 行业深度报告 表表 5:用于存内计算的存储器对比:用于存内计算的存储器对比 项目项目 SRAM NOR Flash RRAM MRAM PCM 易失特性 易失 非易失 非易失 非易失 非易失 多值存储 否 是 是 否 是 现有工艺节点 5nm 28nm 28nm 16nm 28nm 理论工艺极限 2nm 14nm 5nm 5nm 5nm 单比特存储面积(F/bit)300 7.5 2040 3
65、0 24 读写次数 无线 106 108 1015 108 应用场景 云侧和边侧的推理和训练 边侧和端侧的推理和训练 云侧、边侧和端侧的推理和训练 云侧和边侧的推理和训练 云侧、边侧和端侧的推理和训练 资料来源:存算一体白皮书,招商证券 目前存内计算主要采用目前存内计算主要采用 NOR、SRAM、DRAM 等成熟存储介质,新型存储器在等成熟存储介质,新型存储器在大算力领域应用尚不成熟。大算力领域应用尚不成熟。目前 HBM 等近存计算已经规模商用,但大部分存内计算采用模拟计算或使用 DRAM 等成熟存储介质,新型存储器落地场景仍相对有限。在低功耗、低精度和中小算力场景,多使用 NOR、SRAM
66、等工艺;在高精度、大算力的 AI 芯片中,目前 RRAM、MRAM 等新型存储器技术指标尚在提升,因此新型存储器尚未规模应用,应用方案以 HBM、HBM-PIM 等为主,例如三星、海力士等均采用 2.5D 封装形式,将 DRAM die 堆叠形成 HBM,进而提高集成度;三星于 2021 年推出结合 DRAM 的高带宽内存-内存内处理(HBM-PIM),将 AI 计算能力引入内存,通过将经过 DRAM 优化的 AI 引擎置于每个存储子单元内,将处理能力直接引入到数据的存储位置,从而实现并行处理并尽可能减少数据移动。敬请阅读末页的重要说明 19 行业深度报告 二、二、HBM 新型存储器突破带宽极
67、限,新型存储器突破带宽极限,训练型训练型 AI 服务服务器推动器推动超百超百亿美金增量市场亿美金增量市场 1、HBM 用于高带宽和高容量场景中,已普遍搭配主流用于高带宽和高容量场景中,已普遍搭配主流 AI训练芯片使用训练芯片使用 HBM 是基于是基于 2.5/3D 封装技术的新型存储器,满足高带宽、高速度等需求。封装技术的新型存储器,满足高带宽、高速度等需求。HBM(High Bandwidth Memory)指高带宽存储器,是一款新型的 CPU/GPU 内存芯片,基于 2.5D/3D 封装技术将 DRAM Die 垂直堆叠,具备高带宽、高速度等特点。DRAM Die 之间通过 TSV 的方式
68、连接,逻辑控制单元对 DRAM 进行控制,GPU 和 DRAM 之间通过 uBump 和 Interposer(起互联功能的硅片)连通。目前最先进的 HBM 为第五代 HBM3 以及第六代 HBM3E,封装的 DRAM Die 层数达到 12 层。图图17:HBM 结构图结构图 图图18:HBM3 具备具备 12 层层 DRAM die 资料来源:AMD、招商证券 资料来源:SK 海力士、招商证券 GPU 显存显存一般一般采用采用 GDDR 或或 HBM 两种方案,两种方案,HBM 能够在实现高带宽和高容能够在实现高带宽和高容量的同时节约芯片面积和功耗,非常适合在功率受限但又需要高带宽的场景中
69、使量的同时节约芯片面积和功耗,非常适合在功率受限但又需要高带宽的场景中使用用。1)传统的传统的 GDDR 方案方案:主要通过提升 GDDR 的带宽实现性能的提升,但存在如下问题:GDDR 不断增长的功耗一定程度阻碍了图形性能的表现,未来可能导致GDDR的性能表现无法匹配GPU的性能要求;为了实现GPU的高带宽,GPU 需要搭配大量的 GDDR5/6 芯片,芯片面积不断增大,同时也需要更高的电压。2)HBM 方案方案:将原本在 PCB 板上的 DDR 内存颗粒和 GPU 芯片同时集成到SiP 封装中,使内存更加靠近 GPU,DDR Die 采用堆叠方式,通过增加单个 DDR容量和层数来实现内存容
70、量和带宽的提高。HBM 具备如下优势,大大节约芯大大节约芯片面积:片面积:根据 AMD,1GB HBM 相较 1GB GDDR5 能够节省大约 94%的芯片面积;能够在更低的频率和更少的功耗下提供超越常规内存的带宽:能够在更低的频率和更少的功耗下提供超越常规内存的带宽:HBM 通过同一封装内的硅中介层与 SoC 集成在一起,能够克服数据 I/O 封装管脚限制的最大数量,进而突破内存带宽的限制。例如,典型的 GDDR5 使用 32 位总线,在 1750MHz、1.5V 电压下,最高带宽为 28GB/s,而一个 HBM 包使用 1024 位总线,仅在 500MHz、1.3V 的电压下,就能达到超过
71、 100GB 的带宽。敬请阅读末页的重要说明 20 行业深度报告 图图19:HBM 和和 GDDR 方案对比方案对比 图图20:1GB HBM 和和 GDDR5 芯片面积对比芯片面积对比 资料来源:AMD、招商证券 资料来源:AMD、招商证券 图图 21:GDDR5 和和 HBM 对比对比 资料来源:AMD,招商证券 HBM 已普遍搭配主流已普遍搭配主流 AI 训练芯片使用,相较训练芯片使用,相较 GDDR 带宽显著提升带宽显著提升。HBM 最早于2013年由SK海力士首先制造,第一颗采用HBM存储的GPU是2015年AMD的 Radeon R9 Fury X,第一颗应用 HBM2 的 GPU
72、 是英伟达的 Tesla P100;目前,先进的 AI 训练 GPU 芯片均搭载 HBM 存储芯片,例如英伟达的 DGX A100单GPU搭载了总计80GB容量的HBM2E,DGX H100单GPU搭载了总计80GB容量的 HBM3 存储,单个 HBM3 存储带宽最高可达 819GB/s,较单个 GDDR 带宽提升 10 倍以上,H200 更是搭载了共 141GB 的 HBM3e 内存,总 HBM 容量较 H100 提升 76%,总带宽提升约 43%。敬请阅读末页的重要说明 21 行业深度报告 表表 6:GDDR 和和 HBM 的应用场景及参数对比的应用场景及参数对比 指标指标 G GDDR5
73、DDR5 G GDDR5XDDR5X G GDDR6DDR6 G GDDR6XDDR6X H HBM2BM2 H HBM2EBM2E H HBM3BM3 应用示例 GTX 1070 RX 570 TitanX Titan RTX RX5700 XT GeForce RTX 3080/3090 Tesla V100 Radeon Instinct MI520 NVIDIA A100 NVIDIA H100#of placement(GPU 搭配的个数)8 12 12 12 4 4-8 4-8 Gb/s/pin(速度)8 11.4 14-16 19-21 1.75-2 3.2-3.6 6 GB/s
74、/placement(带宽)32 45 56-64 76-84 224-256 410-461 最高 819 GB/s/system(系统带宽)256 547 672-768 912-1008 896-1024 1638-2765 最高 4.8TB Configuration(配置)256 I/O(8pcs32 I/O package)384 I/O(12pcs32 I/O package)384 I/O(12pcs32 I/O package)384 I/O(12pcs32 I/O package)4096 I/O(4pcs1024 I/O package)4096-8192 I/O(4-8
75、pcs1024 I/O package)/Frame Buffer of Typical System(系统容量)8GB 12GB 12GB 12GB 16-32GB 32-96GB/AVG Device Power 9.0 8.0 7.5 7.25 7.0 6.0/Typical I/O Channel(封装与接口连接形式)PCB(P2P SM)PCB(P2P SM)PCB(P2P SM)PCB(P2P SM)Si Interposer(2.5D Intergration)Si Interposer(2.5D Intergration)Si Interposer(2.5D Intergrat
76、ion)资料来源:美光、SK 海力士,招商证券 2、HBM 容量、带宽、速度持续升级,容量、带宽、速度持续升级,训练型训练型 AI 服务器将服务器将带来带来 HBM 超百亿超百亿美元增量市场美元增量市场 HBM 目前最主要搭配目前最主要搭配 AI 的的 GPU 使用,使用,训练型训练型 AI 服务器是最主要服务器是最主要的的增量市场。增量市场。根据 SK 海力士,受益于 HPC、AI、CPU 等应用,HPC 市场复合增长率达到 40%左右,由于随着数据量呈指数级增长,以及 AI/ML 训练等高级工作负载的快速增长,预计 AI 服务器将是几年内 HBM 最大的下游市场。训练型 AI 服务器对于
77、HBM 市场的拉动体现在:AI 服务器渗透率的提升;服务器渗透率的提升;单个单个 AI 服务器搭载服务器搭载 GPU 数量的增加;每个数量的增加;每个 GPU 搭载的搭载的 HBM Stack 数量增数量增加;单个加;单个 HBM 堆叠的堆叠的 DRAM die 层数(层数(Hi)增加,以及)增加,以及 DRAM die 容量增容量增加;加;HBM 代际进步带来单代际进步带来单 GB 价值量的提升。价值量的提升。AI 训练训练服务器渗透率提升服务器渗透率提升:根据 Trendforce,2022 年全球服务器出货大约1430 万台,其中训练侧 AI 服务器占比仅为 1%;由于四大 CSP 陆续
78、下调采购量,Dell 及 HPE 等 OEM 厂商在 2-4 月期间下调全年出货量至同比分别减少 15%和 12%,Trendforce 预估 2023 年全球服务器整机出货量将同比减少 2.85%至 1383.5 万台。同时,考虑到云服务厂商积极投入生成式AI,AI 服务器渗透率预计将快速提升;1)GPU 基板搭载基板搭载 HBM 数量提升:数量提升:搭载 HBM1 的 GPU 基板上仅采用 4 个HBM1 芯片,而英伟达 AI 服务器 DGX A100 和 H100 的基板搭载了 8 个GPU,每个 GPU 搭配 6 个 HBM2e;2)单个单个 DRAM die 密度增加、密度增加、HB
79、M 堆叠层数和堆叠层数和容量提升容量提升:根据 SK 海力士官网信息,从 HBM1 进步到 HBM3,单个 DRAM Die 密度从 2Gb 提升至16Gb,堆叠高度从 4Hi 提升至最高 12Hi,单个 HBM 容量从最高 1GB 提升至 24GB;敬请阅读末页的重要说明 22 行业深度报告 3)HBM 性能提升:性能提升:HBM1 提升至 HBM3,最高速度从 1Gbps 提高到高于6Gbps,带宽从 128GB/s 提升至最高 4.8TB/s。例如,SK 海力士的 HBM3将 DRAM 芯片高度减少至大约 30 微米,相当于 A4 纸厚度的 1/3,使用 TSV技术(在 DRAM 芯片上
80、打上数千个细微孔并通过垂直贯通的电极连接上下芯片)垂直连接12个芯片,相较于HBM2e,HBM3的速度提高了大约78%,容量提升了 1.5 倍,并且大大降低了工作温度;4)价值量较传统)价值量较传统 DRAM 提升:提升:传统 DDR4 每 GB 价格大约数美元,HBM价格大约为其数倍,HBM3、HBM3E 相较前几代产品价值量也大大提升。图图22:搭载搭载 HBM1 的的 GPU 基板结构图基板结构图 图图23:DGX A100 GPU 基板结构图基板结构图 资料来源:Techinsights、招商证券 资料来源:英伟达、招商证券 表表 7:HBM 型号对比型号对比 指标指标 HBM1 HB
81、M2 HBM2e HBM3 HBM3E DRAM die 密度 2Gb 8Gb 16Gb 16Gb 16-24Gb 引脚数据速率*1Gbps 2.4Gbps 3.6Gbps 6.4Gbps 9.2Gbps 带宽*128GB/s 307GB/s 460GB/s 819GB/s 1.2TB/s 核心 Die 堆叠 4 层 4/8 层 4/8 层 12 层 12 层 容量 1GB 4/8GB 8/16GB 24GB 24-36GB 资料来源:SK 海力士,招商证券,注:*最大数值,HBM3E 来自美光计划推出的产品参数 2024 年年训练型训练型 AI 服务器对服务器对 HBM 增量空间预计增量空间
82、预计超百超百亿美元。亿美元。由于推理型 AI 服务器对 GPU 和 HBM 需求量相对较少,因此我们仅考虑训练型 AI 服务器带来的HBM 市场增量。假设 2024 年训练型 AI 服务器渗透率达 4%,单个训练型 AI 服务器搭载 8 个 GPU,目前 DGX A100 和 H100 的每个 GPU 配 6 个 HBM,总容量为 80GB;考虑到改进前的 GH200 搭载的 HBM 总容量为 96GB,H200 搭载的 HBM 总容量升至 141GB,考虑到 GPU 算力持续提升,因此我们合理假设平均每个GPU搭载HBM容量持续提升;目前HBM持续供不应求,单价快速增长,但目前HBM 产品以
83、HBM2及HBM2E 为主,考虑到2024 年更高价值量的HBM3将持续放量,因此假设 2024 年价格继续上涨;考虑到 2025 年 HBM 产能逐步开出,成本有望持续下降,我们假设 2025 年价格有所回落。综上所述,我们预计2024 年 AI 服务器有望带动 HBM 超百亿美金增量市场。敬请阅读末页的重要说明 23 行业深度报告 表表 8:训练型训练型 AI 服务器服务器 HBM 市场需求测算市场需求测算 指标指标 2022 2023E 2024E 2025E 服务器出货量(万台)1430 1389 1473 1561 AI 服务器渗透率 1.0%1.5%4.0%6.0%AI 服务器出货
84、量(万台)14.3 20.8 58.9 93.7 AI 服务器搭载 GPU 数量 8 8 8 8 每个 GPU 搭载 HBM 容量(GB)80 90 110 130 AI 服务器 HBM 总容量(亿 GB)0.9 1.5 5.2 9.7 HBM 单 GB 价格(美元)15 20 22 20 HBM 总价值量(亿美元)总价值量(亿美元)13.7 30.0 114.0 194.8 资料来源:英伟达,SK 海力士,Trendforce,招商证券整理 英伟达在英伟达在 COMPUTEX 2023 大会上公布了大会上公布了 GH200 Grace Hopper,每个,每个 GPU使用使用 96GB 的的
85、 HBM3,搭载的,搭载的 HBM 容量继续提升。容量继续提升。由 NVIDIA GH200 Grace Hopper 超级芯片和 NVIDIA NVLink Switch System 驱动的 NVIDIA DGX 超级计算机,旨在助力开发面向生成式 AI 语言应用、推荐系统和数据分析工作负载的巨型、下一代模型。NVIDIA DGX GH200 的超大共享内存空间通过 NVLink 互连技术以及 NVLink Switch System 使 256 个 GH200 超级芯片相连,使它们能够作为单个 GPU 运行。其提供 1 exaflop 的性能和 144 TB 的共享内存相较2020 年推
86、出的上一代 NVIDIA DGX A100 内存大了近 500 倍。H200 单 GPU 总共支持 576GB 的内存,其中 480GB 为 LPDDR5X,从 HBM 容量来看,A100和 H100 单 GPU 最大支持 80GB HBM 容量,H200 单 GPU 最大支持 96GB 容量的 HBM3。图图 24:英伟达英伟达改进前的改进前的 GH200 Grace Hopper 资料来源:英伟达官网,招商证券 敬请阅读末页的重要说明 24 行业深度报告 图图 25:英伟达英伟达改进前的改进前的 GH200 Grace Hopper 结构图(单个结构图(单个 GPU 含含 480GB LP
87、DDR5X 和和 96GB HBM3)资料来源:英伟达官网,招商证券 英伟达于英伟达于 11 月月 13 日上午在日上午在“Supercomputing 23”会议上正式发布了全新的”会议上正式发布了全新的H200 GPU 和改进后的和改进后的 GH200,每个,每个 H200 GPU 搭载搭载 HBM3e 容量进一步提升容量进一步提升至至 141GB。H200 依然是建立在现有的 HopperH100 架构之上,但增加了更多高带宽内存(HBM3e),全新的 H200 提供了总共高达 141GB 的 HBM3e 内存,有效运行速度约为6.25Gbps,六个HBM3e堆栈中每个GPU的总带宽为4
88、.8TB/s。与上一代的 H100(具有 80GB HBM3 和 3.35TB/s 带宽)相比,HBM 容量提升了超过 76%。改进后的 GH200 预计将包含总计 624GB 内存,相较改进前的GH200(总计 576GB 内存),总内存容量提升了 8.3%。图图 26:英伟达英伟达 H200 Grace Hopper 资料来源:英伟达,招商证券 长期来看,长期来看,HBM 在在 AR/VR 设备、汽车自动驾驶域等领域也将有更多应用。设备、汽车自动驾驶域等领域也将有更多应用。1)AR/VR 设备:以 HTC VIVE pro2 为例,其连接 GTX1060,当前并不需要 HBM 敬请阅读末页
89、的重要说明 25 行业深度报告 等高带宽产品,未来有望伴随显示需求增多逐步产生高带宽需求;2)汽车:当前自动驾驶域并不需要 HBM 的高带宽,比如英伟达 Jetson AGX Orin 采用 256位的 LPDDR,带宽最高为 204.8HB/s。但长期来看,伴随 L3/L4 等级别自动驾驶汽车逐渐落地,也将提升 HBM 需求,例如 L3 级别自动驾驶带宽要求一般为600GB/s-1TB/s 之间,单车可用 2 个 HBM2e 或者 1 个 HBM;L4 级别自动驾驶带宽要求一般为 1-1.5TB/s 之间,单车可用 3 个 HBM2e 或 2 个 HBM3。敬请阅读末页的重要说明 26 行业
90、深度报告 三、三、HBM 采用采用 2.5D+3D 封装,核心工艺包括封装,核心工艺包括 TSV、CoWoS 等等 1、HBM 和和 Si 中介层中介层封装远期市场预计数倍增长封装远期市场预计数倍增长,核心封,核心封装工艺包括装工艺包括 Bumping、TSV、CoWoS 等等 HBM 采用采用 2.5D+3D 封装工艺,采用封装工艺,采用的核心封装工艺包括的核心封装工艺包括 Bumping、RDL、FC、TSV、CoWoS 等。等。封装工艺主要有四项功能:保护芯片免受外部冲击或损坏;将外部电源传输至芯片,保证芯片的正常运行;为芯片提供线路连接,以便执行信号输入和输出操作;合理分配芯片产生的热
91、量,确保其稳定运行。HBM采用“2.5+3D”封装工艺,即分别在 DRAM die 上和硅中介层上制作 TSV,主要使用的封装工艺如下:1)HBM 由 DRAM Die 堆叠而成,Die 之间借助 TSV(硅通孔)和微凸块(microbump)相连,并借助 TC(Thermal Compression,热压)-NCF(non-conductive film,非导电薄膜)或 MR-MUF(批量回流模制底部填充)技术,用 NCF 或 LMC(Liquid Molding Compound,液态塑封料)对芯片形成互连和保护;2)HBM 通过 TSV 和微凸块连接下方的 HBM 逻辑控制 die(Ba
92、se Die),逻辑控制 die 再通过凸块(bump)连接下方的硅中介基板(Si interposer);3)HBM Stack 通过 CoWoS 等 2.5D 封装工艺,和 CPU/GPU 等并排铺设在硅中介基板上方,CPU/GPU 等逻辑 die 采用倒片封装(FC)形式和硅中介基板连接,存储器和 GPU 等逻辑芯片之间通过 RDL 实现通信;4)硅中介基板通过 2.5D TSV 工艺和 Cu Bump 连接至封装基板(Package Substrate)上;4)最后,封装基板通过封装锡球(package balls)和下方的 PCB 基板(Circuit Board)相连,这一过程采用
93、传统的球栅阵列(Ball Grid Array,BGA)封装工艺。敬请阅读末页的重要说明 27 行业深度报告 图图 27:HBM 结构图及用到的封装工艺结构图及用到的封装工艺 资料来源:台积电,Wikichip,招商证券整理 图图 28:HBM 中中 DRAM die 堆叠的微观结构堆叠的微观结构 资料来源:Techinsights,招商证券 2021 年年针对针对 HBM 和和 Si Interposer 的高端高性能封装的高端高性能封装市场规模市场规模合计合计约约 14 亿美亿美元,预计元,预计 2027 年年增至增至约约 35 亿美元。亿美元。根据 Yole,高端高性能封装主要包括 UH
94、D FO、嵌入式硅桥、硅中介层(Si Interposer)、3D 堆栈存储器和 3D SoC,嵌入式硅桥主要解决方案包括台积电的 LSI 和英特尔的 EMIB;硅中介层通常由台积电、三星和联电提供,还包括英特尔的 Foveros 方案;3D 堆栈存储器分为 HBM、3DS 和 3D NAND 堆栈。Yole 预计 2021 年高端高性能封装市场规模约 27.4 亿美元,其中 HBM 和硅中介层市场封装规模分别为 4.35 亿美元和 9.64 亿美元;到 2027 年,整体市场规模预计增至 78.7 亿美元,其中 UHD FO、HBM、3DS和硅中介层封装将在 2027 年占据超 50%的市场
95、份额,其中 HBM 和硅中介层封装预计分别增至 16.3 亿美元和 18.8 亿美元,CAGR 分别为 25%和 12%。敬请阅读末页的重要说明 28 行业深度报告 图图 29:2021-2027 年高端封装工艺市场规模年高端封装工艺市场规模 资料来源:Yole,招商证券 2、凸块制造(凸块制造(Bumping)是扇入是扇入/扇出、扇出、FC 等封装必要环等封装必要环节,节,推动推动沉积、涂胶显影、电镀等前道工艺沉积、涂胶显影、电镀等前道工艺需求增长需求增长 晶圆级封装采用凸块(晶圆级封装采用凸块(Bump)取代键合引线)取代键合引线,凸块可以分布在整个芯片表面形,凸块可以分布在整个芯片表面形
96、成信号触点。成信号触点。凸块工艺即在晶圆切割成单个芯片之前,在晶圆上以整个晶圆的形式形成由焊料组成的“凸块”或“球”,这些凸块是芯片和基板互连形成单个封装的基本互连组件。传统的引线键合工艺中,接合焊盘/引脚放置在外围区域,但用于凸块的 I/O焊盘可以分布在芯片的整个表面,每个凸块都是一个信号触点,从而可以缩小芯片尺寸并优化电气路径,因此凸块工艺广泛用于倒装芯片封装、扇入或扇出型封装工艺。图图30:凸块分布在整个芯片表面凸块分布在整个芯片表面 图图31:凸块的微观结构(铜柱凸块)凸块的微观结构(铜柱凸块)资料来源:Powertech、招商证券 资料来源:FaradayTech、招商证券 凸块可以
97、由共晶、无铅、高铅材料或晶圆上的铜柱组成,高端应用多采用铜柱作凸块可以由共晶、无铅、高铅材料或晶圆上的铜柱组成,高端应用多采用铜柱作为凸块。为凸块。含铅焊料作为凸块有多年历史,但已经被锡基无铅焊料取代并用于倒装封装等工艺,而在 AI、HPC、基带、高性能存储等应用场景,铜柱凸块(Cu Pillar Bump,CPB)逐渐取代无铅锡球,主要系 CPB 的精细节距(Fine Pitch)更小,无铅锡球的 Fine Pitch 一般为 130-250um,铜柱凸块的 Fine Pitch 可缩小至 敬请阅读末页的重要说明 29 行业深度报告 130-40um 左右,采用铜柱凸块的芯片尺寸较锡球能够缩
98、小 5-10%,衬底层可从6 层减少到 4 层,基板成本下降约 30%;铜柱凸块还具有改善的电子迁移阻力,能够减少功率损耗和信号延迟,同时实现更好的散热性能。无铅锡球整体由锡金属构成,铜柱则分为三层结构,包括铜金属柱、一层薄镍金属作为铜柱的扩散屏蔽层、锡/银金属作为焊帽。图图 32:锡基无铅焊料和铜柱凸块结构对比:锡基无铅焊料和铜柱凸块结构对比 资料来源:Indium 官网,招商证券 凸块工艺(凸块工艺(Bumping)流程为制备)流程为制备 UBM 和形成凸块,和形成凸块,主要使用主要使用 PVD 设备、涂设备、涂胶显影机、电镀机、刻蚀机、胶显影机、电镀机、刻蚀机、回流炉回流炉等设备。等设备
99、。在制作凸块前,首先需要在芯片表面需要生长凸块的区域制作凸块下金属(Under Bump Metallization,UBM),用于阻挡凸点金属扩散至下层金属焊盘中;在完成制备 UBM 后,凸块的制备可以采用三种方式:电镀、印刷锡膏固化和植球方式,电镀方式形成铜柱等凸块,在电镀焊料、去除光刻胶和刻蚀掉溅射金属后,通过回流进行固化;印刷锡膏固化方式通过将含焊锡料的改性锡膏通过贴片、回流焊等加热固化;植球方式则通过植球机将预成型的凸块印制在 UBM 上。具体工艺步骤如下:具体工艺步骤如下:1)沉积阻挡层、溅射沉积阻挡层、溅射 Ti/Cu:采用溅射或其他物理气相沉积方式在晶圆表面沉积一层钛或钛钨作为
100、阻挡层,再沉积一层铜或其他金属作为后续电镀所需的种子层。阻挡层用于阻挡芯片表面焊盘金属与种子层间金属相互扩散。在沉积金属前,晶圆先进入溅射机台的预清洁腔体,用氩气等离子去除焊盘金属表面的氧化层,以提高溅射金属层与芯片表面的结合力;2)涂胶显影:)涂胶显影:在晶圆表面旋涂一定厚度的光敏光刻胶(UV 胶,Ultraviolet Rays),并应用光刻曝光工艺,对光刻胶进行选择性曝光,光刻系统在 UV 胶顶部形成预定凸块尺寸的图案;3)电镀、刻蚀:)电镀、刻蚀:前一步预定凸块尺寸的图案被刻蚀,形成一个小间隙;使用电化学沉积(ECD)或电镀设备在间隙填充或镀铜;再剥离 UV 胶并刻蚀结构;4)回流或加
101、热回流或加热:最后,形成的凸块结构在回流炉中回流和加热,形成凸块。敬请阅读末页的重要说明 30 行业深度报告 图图 33:铜柱凸块工艺流程:铜柱凸块工艺流程 资料来源:John Lau,欣兴微电子,招商证券 3、TSV 用于用于 DRAM Die 之间及之间及 HBM 和和 Interposer 之间的之间的互联,互联,为电镀、键合等工艺带来较多增量为电镀、键合等工艺带来较多增量 TSV 技术专为技术专为 2.5/3D 封装而生,能够以最低的能耗提供极高的带宽和密度。封装而生,能够以最低的能耗提供极高的带宽和密度。TSV(Through-Silicon Via)即硅通孔技术,是目前最先进的封装
102、技术之一,与传统的 SIP 等封装技术相比,TSV 的垂直连接可以允许更多数量的连接,因此具备更好的电势能、更低的功耗、更宽的带宽、更高的密度、更小的外形尺寸、更轻的质量等优势,是实现电路小型化、高密度、多功能化的首选解决方案。2.5/3D TSV 技术已经广泛用于 AI GPU 基板上的 HBM 中,DRAM 各层 Die 之间的连接采用 3D TSV 工艺,HBM 芯片和下方的金属凸块之间的连接采用 2.5D TSV 工艺。表表 9:2.5D TSV 技术在技术在 GPU 中的应用中的应用 应用应用 GPU GPU AI GPU 配置 ASIC+HBM ASIC+4HBM ASIC+6HB
103、M ASIC+6HBM 封装 41*31mm 55*55mm 55*55mm 55*58mm 内插板 27*15mm 43*34mm 43*37mm 47*34mm ASIC 17*33mm 32*26mm 33*26mm 33*26mm 硅节点 14nm 12nm 7nm 5nm 存储器 HBM2 HBM2 HBM2 HBM2E/3 敬请阅读末页的重要说明 31 行业深度报告 应用应用 GPU GPU AI GPU 平面设计图 资料来源:安靠,招商证券 TSV 工艺价值量在工艺价值量在 HBM 3D 封装工艺中占比最高。封装工艺中占比最高。3D TSV 是 HBM 堆叠工艺中成本占比最高的工
104、艺,根据 3DinCites,考虑 4 层 DRAM die 和 1 层逻辑 die 堆叠的 HBM 结构,在 99.5%和 99%的芯片键合(die bonding)良率下,TSV 制造和 TSV 通孔露出工艺分别占其成本的 30%和 29%。图图34:HBM(4 层层 DRAM+1 层逻辑)层逻辑)99.5%键合良率成键合良率成本构成本构成 图图35:HBM(4 层层 DRAM+1 层逻辑)层逻辑)99%键合良率成本键合良率成本构成构成 资料来源:3DInCites、招商证券 资料来源:3DInCites、招商证券 TSV 工艺工艺主要主要包括前段的成型制造工艺和中段的露出包括前段的成型制
105、造工艺和中段的露出及及金属化工艺。金属化工艺。晶圆厂一般负责 TSV 的成型工艺,主要包括刻蚀和沉积,封装厂一般负责中段制程(MEOL),即硅通孔露出和背面金属化工艺,主要包括减薄、钝化和键合等,以及最后的封装工艺。1)刻蚀:)刻蚀:主要使用深反应离子刻蚀(DRIE,Deep Reactive Ion Etching)法,又称博世法,该技术在真空系统中利用分子气体等离子的诱导化学反应来实现各向异性刻蚀;2)沉积:)沉积:在刻蚀完成后,需要利用 PECVD 法沉积二氧化硅或氮化硅,以形成绝缘层对硅衬底进行电气隔离;之后,需要制作金属扩散阻挡层来防止铜原子扩散、制作种子层方便后续铜的沉积,一般采用
106、PVD 沉积 Ti/Ta、采用 CVD 沉积 TiN/TaN 等;3)电镀:)电镀:在沉积完成后,需要电镀方法形成铜,一般采用自下而上的方法(Bottom-up);4)减薄抛光:)减薄抛光:在电镀完成后,需要对晶圆进行减薄抛光来使 TSV 背面的铜层快速露出,一般采用机械研磨等方法将晶圆减薄至 50m 甚至更薄,并采用 CMP 等技术露出铜通孔中的铜柱;5)晶圆键合:)晶圆键合:用来完成通孔金属化和连接端子的晶片之间的互联。18%12%20%20%15%3%1%7%4%TSV创建TSV暴露前段制程后段制程组装晶圆凸点测试创建TSV时损失组装时损失18%11%19%19%15%3%1%7%8%T
107、SV创建TSV暴露前段制程后段制程组装晶圆凸点测试创建TSV时损失组装时损失 敬请阅读末页的重要说明 32 行业深度报告 图图 36:TSV 工艺流程工艺流程 资料来源:SK 海力士,招商证券 TSV工艺中临时键合工艺中临时键合/解键合、铜电镀、背面通孔露出成本占比最高。解键合、铜电镀、背面通孔露出成本占比最高。在via-middle的 TSV 工艺中,临时键合/解键合和铜电镀成本占比均为 17%左右,背面通孔露出(主要包括背面减薄和抛光)和背面 RDL(主要包括刻蚀、CVD、PVD、电镀等)成本占比约为 15%左右,其他关键工艺包括刻蚀、CVD、铜阻挡层 PVD等。图图 37:TSV 制造成
108、本构成(制造成本构成(via-middle 工艺)工艺)资料来源:A cost model analysis comparing via-middle and via-last TSV processes,招商证券 17%4%8%8%9%17%7%15%15%临时键合/解键合硬掩膜CVD刻蚀衬底CVD铜阻挡层PVD铜电镀铜CMP背面通孔露出背面RDL退火 敬请阅读末页的重要说明 33 行业深度报告 4、CoWoS 用于英伟达用于英伟达 AI GPU 的整体封装,生成式的整体封装,生成式 AI 有有望望推动推动 2024 年年 CoWoS 需求翻倍以上增长需求翻倍以上增长 HBM 和和 GPU
109、等芯片采用等芯片采用 TSMC CoWoS 技术进行整体封装,能够减少封装体技术进行整体封装,能够减少封装体积和功耗等。积和功耗等。目前立体封装主要包括 2.5/3D 封装,2.5D 封装主要指将存储、记忆体等其他芯片,并排铺设在硅中介板(Silicon Interposer)上,经过微凸块(Micro Bump)连接,以实现硅中介板上不同芯片之间的通信;再通过硅穿孔(TSV)来连接下方的金属凸块,再由导线载板连接外部;3D封装致力于实现芯片如HBM中 DRAM die 的垂直堆叠。目前,英伟达 AI GPU DGX A100、H100、H200 等均采用台积电的 CoWoS(Chip on
110、Wafer on Substrate)技术,首先将芯片通过首先将芯片通过CoW(Chip on Wafer)工艺连接至硅中介层()工艺连接至硅中介层(Interposer),再通过),再通过 OS(On Subtrate)工艺将)工艺将 CoW 芯片和基板连接,整合成芯片和基板连接,整合成 CoWoS。图图38:2.5/3D 封装示意图封装示意图 图图39:HBM 中的中的 CoWoS 工艺示意图工艺示意图 资料来源:Semiconductor Engineering、招商证券 资料来源:台积电、招商证券 按照中介基板(按照中介基板(interposer)材料的不同,)材料的不同,CoWoS
111、可分为可分为 CoWoS-S/R/L 三种三种形式。形式。CoWoS(Chip on Wafer on Substrate,晶圆级封装)是一种高集成度封装技术,能够在中介基板(Interposer)上密集防止多颗芯片。从工艺流程上来看,已知良品芯片(Know Good Die,KGD)首先通过 Chip on Wafer(CoW)的封装制程连接至硅晶圆,再将 CoW 芯片与基板相连并整合成 CoWoS。台积电根据中介基板的不同,将 CoWoS 技术分为三种类型。1)CoWoS-S:是基础的 CoWoS 技术,使用 Si 衬底作为中介层,可以支持超高集成密度,提供不超过两倍掩膜版尺寸的硅中介层,
112、是 HBM 等高速高带宽内存芯片使用的工艺;2)CoWoS-R:引入 RDL 作为中介层,RDL(Redistribution Layer,重新分配层)是集成电路上形成的额外金属布线层,旨在重新排列 I/O 焊盘,将焊盘重塑到所需位置,以便于在必要时操作焊盘。这种工艺具备灵活性,增加了封装连接的可靠性,允许新封装可以扩大尺寸以满足更复杂的功能需求,有效支持多个Chiplet 之间进行高度可靠互联。例如,芯片中心的凸块阵列可重新分配到靠近芯片边缘的位置。重新分配焊盘有助提高接触密度;敬请阅读末页的重要说明 34 行业深度报告 图图 40:CoWoS-R 工艺工艺 资料来源:台积电,招商证券 3)
113、CoWoS-L:在 CoWoS-S 和 InFO 技术的基础上,引入 LSI(Local Silicon Interconnect)技术,LSI 芯片在每个产品中可以具有多种连接结构(例如从 SoC到 SoC、SoC 到小芯片、SoC 到 HBM 等),提供更灵活和可复用的多芯片互联架构。图图 41:CoWoS-L 工艺工艺 资料来源:台积电,招商证券 台积电台积电 CoWoS 需求持续强劲需求持续强劲,展望,展望 2024 年年产能将增至目前产能将增至目前 2 倍倍。台积电在CoWoS 工艺处于领先地位,根据 Yole,2021 年全球领先厂商在高端高性能封装上的资本支出总投入约 119 亿
114、美元,其中 TSMC 资本支出为 30.5 亿美元,主要包括InFO 工艺,用于 UHD FO;CoWoS 工艺,用于 RDL 或硅中介层等;LSI 平台,和英特尔的 EMIB 直接竞争。由于 Google TPU、英伟达 GPU 和AMD MI300 等均导入生成式 AI,台积电 AIGC 订单增多,先进封装需求持续提升,其中英伟达是 A100 和 H100 级数据中心 CoWoS 封装的最大客户,谷歌通过博通成为 TPUv4 和 v5 的第二大客户,AMD 在 MI300 上采用 CoWoS,亚马逊的 Trainium through AI Chip 及微软最新 AI 芯片也使用 CoWo
115、S 工艺,国内壁仞科技于 2022 年 8 月发布首款通用 GPU 芯片 BR100,采用 7nm 工艺,也使用Chiplet与CoWoS 2.5D封装工艺。台积电总裁魏哲家于6月6日股东大会表示,自去年以来 CoWoS 需求几乎翻倍增长,明年需求将持续强劲。由于目前市场需求大于产能,台积电表示当前首要任务是增加 CoWoS 产能,必要情况可能会通过转厂方式生产;台积电于 23Q3 法说会上表示,2024 年 CoWoS 产能将翻倍。敬请阅读末页的重要说明 35 行业深度报告 图图 42:2021 年全球主要厂商用于高端高性能封装的市场规模年全球主要厂商用于高端高性能封装的市场规模 资料来源:
116、Yole,招商证券 图图 43:壁仞科技壁仞科技 BR-100 芯片采用芯片采用 CoWoS 封装工艺封装工艺 资料来源:壁仞科技,招商证券 5、FC 工艺工艺用于用于逻辑逻辑 die 与与硅中介层硅中介层连接连接,相较传统引线键,相较传统引线键合方式大大缩短信号路径合方式大大缩短信号路径 倒片封装(倒片封装(Filp Chip,FC)将芯片翻转,将芯片翻转,在在先进封装工艺中先进封装工艺中取代引线键合取代引线键合。倒装键合(Flip Chip Bonding)指通过在芯片顶部形成凸点,并将芯片倒转过来,实现芯片的有源区域和基板间的电气和机械连接。倒片封装在先进封装工艺中取代引线键合,主要系引
117、线键合对于可进行电连接的输入/输出(I/O)引脚的数量和位置有限制,而倒片封装不存在此种限制;倒片封装的电信号传输路径短于引线键合。在引线键合方法中,金属焊盘在芯片表面采用一维方式排列,无法出现在芯片边缘或中心位置,而倒片键合方法在键合至基板或形成焊接凸点的过程中不存在任何工艺方面的限制,因此在倒片封装中,金属焊盘可以采用二维方式全部排列在芯片的一个侧面,增加金属焊盘的数量;另外,用于形成凸点的焊盘可以布置在芯片顶部的任何位置,用于供电的焊盘可以布置在靠近需要供电的区域,进一步提升电气性能。敬请阅读末页的重要说明 36 行业深度报告 图图 44:FC 封装较焊盘封装大大缩短信号路径封装较焊盘封
118、装大大缩短信号路径 资料来源:SK 海力士,招商证券 FC 封装采用回流焊或热压工艺形成芯片上的凸点与基板上的焊盘连接。封装采用回流焊或热压工艺形成芯片上的凸点与基板上的焊盘连接。倒装封装首先将焊球附着在芯片焊盘上,再将芯片正面朝下放置在基板上,焊球通过回流焊(Reflow)或热压缩(Thermo Compression)工艺实现和基板的连接。回流焊工艺是倒装封装中最主流的工艺,又称为 C4 工艺(Controlled Collapse Chip Connection),通过在回流炉的高温下融化结合处的凸点;热压缩工艺通过向接合处施加热量和压力,一般用于 I/O 密度更高的铜柱凸点。热压工艺的
119、成本高、装片工艺也要求更高的精度,导致目前耗时较长。图图 45:倒装键合回流焊工艺流程:倒装键合回流焊工艺流程 资料来源:集成电路产业全书,招商证券 敬请阅读末页的重要说明 37 行业深度报告 四四、HBM 多层堆叠结构多层堆叠结构提升提升前后道工艺价值量,前后道工艺价值量,量量测、电镀测、电镀、键合、键合等设备需求倍增等设备需求倍增 HBM 工艺流程包括晶圆测试、中段制造、后段封测等环节,工艺流程包括晶圆测试、中段制造、后段封测等环节,一方面带动部分传一方面带动部分传统封装工艺环节的设备价值量提升或带来传统封装工艺变革,另一方面,统封装工艺环节的设备价值量提升或带来传统封装工艺变革,另一方面
120、,HBM引入引入凸块、凸块、RDL、TSV 等等先进封装先进封装工艺工艺,使得越来越多的使得越来越多的检检/量测、电镀等量测、电镀等前道前道设备设备融入融入中后段工艺中中后段工艺中。图图 46:HBM 工艺流程及设备需求工艺流程及设备需求 资料来源:SK 海力士,Yole、集成电路全书等,招商证券整理 1)前道前道检检/量测量测:HBM 中全部的 DRAM die 均需要 100%检测,并且由于 HBM中的铜凸点、铜柱、TSV、UBM(凸点下金属层)的结构极其复杂,其质量影响整个 HBM 及 CoWoS 封装的良率,因此需要对每个关键结构的大小、情况、精确程度等进行量测,此环节使用的设备包括前
121、道检测和量测设备;前道检测和量测设备;2)凸块凸块(Bump)制造:)制造:介于前道晶圆制造和后道封装工艺之间,是扇入(Fan-in)封装、芯片级封装(CSP)、系统级封装(SiP)、芯粒封装(Chiplet)等工艺的必要环节,TSV、晶圆级封装(WLP)等均是凸块制造工艺的延伸。在 HBM 中,微凸块(uBump)用于 HBM 之间 DRAM Die、HBM 和硅中介层之间的连接,C4 Cu 凸点用于硅中介层和封装基板之间的连接,实现电气互连和应力缓冲。如前文所述,Bumping 工艺主要使用 PVD 设备、涂胶显影机、电镀机、刻蚀机、设备、涂胶显影机、电镀机、刻蚀机、植球机植球机、回流炉、
122、回流炉等设备等设备;3)TSV 制造:制造:如前文所述,TSV 工艺主要包括前段的通孔成型工艺和中段的通孔露出和背面金属化工艺,使用的设备主要包括刻蚀机、刻蚀机、PECVD、PVD、电镀、电镀机、减薄机、机、减薄机、CMP、键合机等;、键合机等;敬请阅读末页的重要说明 38 行业深度报告 4)硅中介层()硅中介层(Interposer)制造:)制造:主要包括形成 TSV、双面 RDL 和凸块制造、临时键合和解键合、晶圆减薄、切片等工序。RDL(Redistribution Layer,重新分配层)是额外金属布线层,通过重新排列 I/O 焊盘,满足封装工艺更复杂的功能需求,例如将 HBM 堆栈与
123、 SoC 等逻辑芯片相连。凸块工艺可以看做是点与点的连接,RDL 工艺则是面阵连接,RDL 制备使用的设备与凸块类似,主要包括光刻机、刻蚀机、溅射、光刻机、刻蚀机、溅射、CVD、电镀设备、电镀设备等,完整的硅中介层制造还主要需要临临时键合时键合/解键合机、背面减薄机解键合机、背面减薄机等;图图 47:硅中介层工艺流程:硅中介层工艺流程 资料来源:中国科学院微电子所,招商证券 5)后道)后道封装封装:传统封装工艺流程包括晶圆背面减薄、划片/切割、贴片/固晶、互连、模塑、激光打标、切筋成型等步骤,HBM 的多层堆叠结构显著提升晶背减晶背减薄、键合等设备薄、键合等设备的需求,并且对注塑机注塑机提出更
124、高要求;6)后道测试:)后道测试:在晶圆制造之后、封装开始之前,需要首先使用探针台、测试机等将不良芯片剔除,探针台接触裸芯片上的 PAD 点,并连接测试机完成测试过程,再将不良芯片进行打点标记,形成晶圆 Map 图;在封装完成后,需要分选机配合测试机进行成品芯片的终测,该环节主要使用的设备包括探针台、探针台、分选机、分选机、测试机;测试机;7)板卡级组装和整机组装:)板卡级组装和整机组装:为 HBM 封装的最后一道工序,主要将引脚通过 PCB上的导线和其他器件建立连接,工艺涉及通孔插装技术、表面贴装技术等,为了实现 PCB 组装工艺,使用的设备主要包括焊膏涂覆设备、丝网印刷机、点胶机焊膏涂覆设
125、备、丝网印刷机、点胶机、贴片机、回流炉、清洗机、自动光学检测设备贴片机、回流炉、清洗机、自动光学检测设备等;为了提供 PCB 基板,使用的设备主要包括真空层压机、钻孔机、通孔电镀设备、涂胶机、光刻机、显影机、真空层压机、钻孔机、通孔电镀设备、涂胶机、光刻机、显影机、刻蚀机、丝网印刷机、电镀铜设备、自动光学检测仪刻蚀机、丝网印刷机、电镀铜设备、自动光学检测仪等。敬请阅读末页的重要说明 39 行业深度报告 1、测试测试:用于用于 Bump、TSV、KGSD 等等环节,环节,HBM 工艺步工艺步骤增多带来前、后道测试需求提升骤增多带来前、后道测试需求提升(1)前道检)前道检/量测:核心增量来自量测:
126、核心增量来自 Bumping、TSV、RDL 工艺工艺 检测(检测(Inspection)和量测()和量测(Metrology)两大工艺分别用于检测晶圆异质情况)两大工艺分别用于检测晶圆异质情况和对晶圆结构做出量化描述。和对晶圆结构做出量化描述。检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷;量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。根据检测类型不同,半导体质量控制设备也相应分为检测设备和量测设备两大类。图图 48:半导体质量控制环节
127、根据集成电路制造工艺的划分半导体质量控制环节根据集成电路制造工艺的划分 资料来源:中科飞测招股书,招商证券 2022 年全球检测和量测设备市场空间大约年全球检测和量测设备市场空间大约 125 亿美元。亿美元。根据 VLSI Research 数据,2016 年全球检测和量测设备合计规模为 47.6 亿美金,2020 年增长至 76.5亿美金,CAGR 达 12.6%。根据 Gartner,2021 年和 2022 年全球工艺控制设备市场占比大约为 11%和 12%,结合全球前道设备市场空间,2021 和 2022 年全球半导体检测和量测设备市场规模预计分别为 100 亿美元和 125 亿美元。
128、敬请阅读末页的重要说明 40 行业深度报告 图图 49:2022 年全球半导体前道设备占比年全球半导体前道设备占比 图图 50:全球全球半导体检测和量测设备市场规模半导体检测和量测设备市场规模 资料来源:Gartner,招商证券 资料来源:VLSI Research、Gartner,招商证券 HBM 对前道检对前道检/量测设备的增量量测设备的增量主要主要来自来自 Bumping、TSV、RDL 工艺工艺。Bumping 工艺中工艺中,检,检/量测设备量测设备需要识别凸点的缺失、大小不一致等问题。需要识别凸点的缺失、大小不一致等问题。在 HBM 中,铜凸点(Bump)、凸点下金属(UBM)、RD
129、L、TSV 等的结构极其复杂,其形状、质量、精确程度直接影响 HBM 甚至整体 CoWoS 封装的良率。例如,Bump 用于各 DRAM die、HBM 和硅中介层、GPU 和硅中介层的连接,C4 凸点用于硅中介层和封装基板之间的连接,均需要检/量测设备来检测 Bump 是否缺失、大小是否不一致等问题。图图 51:HBM 结构结构 Bumping 节点存在的问题节点存在的问题 资料来源:Camtek,招商证券 TSV 工艺中,刻蚀、衬底工艺中,刻蚀、衬底/阻挡层沉积、填充和阻挡层沉积、填充和 CMP 等每一个工艺步骤等每一个工艺步骤均均需要测量和缺陷检查。需要测量和缺陷检查。例如,TSV 的衬
130、底和阻挡层是采用纳米级薄膜沉积工艺制造的,关键尺寸(CD)的精确测量和控制需要 TSV 横截面的高分辨率扫描电子显微镜(SEM)图像;对于 TSV 特定的缺陷机制,包括裂缝、不完全体填充和绝缘体壁上的针孔等,这些纳米级的结构缺陷需要通过高压17%22%22%12%6%4%3%2%1%11%光刻刻蚀薄膜沉积工艺控制工艺控制清洗涂胶显影CMP离子注入氧化退火 其他-10%-5%0%5%10%15%20%25%30%35%0204060800022市场规模(亿美元)YOY(右轴)敬请阅读末页的重要说明 41 行业深度报告 SEM 来进
131、行量测;另外,随着堆叠芯片数量的增加,3D 结构中需要更小的CD 尺寸,由此将产生 D2W 或 W2W 键合、及 TSV 未对准产生的纳米尺寸缺陷或孔洞,该类量测需求也不断增加。图图 52:TSV 工艺中增加的检测和量测步骤工艺中增加的检测和量测步骤 资料来源:Unraveling 3D Semiconductor Packaging Challenges_Thermal Fisher,招商证券 针对针对 RDL 工艺,更精细的电路增加检工艺,更精细的电路增加检/量测设备需求。量测设备需求。HBM 中硅中介层上排列多层 RDL 布线,支持极其精细的线路,可以互连芯片上间距为 55um甚至 40
132、um 的微凸点。随着需要互联的凸点增多,RDL 互连的凸点间距进一步缩小,增加更加精细和先进的检/量测设备需求。图图 53:RDL 横截面和布线结构横截面和布线结构 资料来源:LAM Research,招商证券 (2)后道测试:针对堆叠晶圆增加预键合测试,针对)后道测试:针对堆叠晶圆增加预键合测试,针对 KGSD 增加多增加多种封装测试流程种封装测试流程 根据根据 SEMI,2021 年全球半导体年全球半导体后道后道测试设备市场规模大约测试设备市场规模大约 78 亿美元,国内市亿美元,国内市场大约场大约22.5亿美元,其中测试机、分选机、探针台分别占比亿美元,其中测试机、分选机、探针台分别占比
133、63%、17.4%、15.2%。目前,测试设备主要被泰瑞达、爱德万等海外厂商垄断,探针台目前,测试设备主要被泰瑞达、爱德万等海外厂商垄断,探针台/分选机等主要分选机等主要被东京精密、东京电子等垄断,国产化提升空间较大。被东京精密、东京电子等垄断,国产化提升空间较大。测试机:测试机:主要实现晶圆的功能测试,通过一台工作站来做外部的控制,透过 敬请阅读末页的重要说明 42 行业深度报告 测试程序的定义来产生待测器件上所需要的电压、电流及时序信号等的输入和读取,依次来判断待测器件的好坏;探针台:主要用于半导体制造晶圆检测(探针台:主要用于半导体制造晶圆检测(CP,Circuit Probing)环节
134、,也)环节,也用于设计验证和成品测试环节。用于设计验证和成品测试环节。在晶圆检测环节中,探针测试主要对封装前晶圆上的裸芯片进行性能测试,裸芯片上有多个 PAD 点用于信号传输(即图 41 绿色方块上多个方形区域,边长约数十微米),测试时探针与之接触并输入、输出测试信号。裸芯片经 PAD 引出引脚、覆盖封装材料等工序后即成为成品芯片,稳定性与尺寸均大幅提升;分选机主要用于分选机主要用于 IC 设计阶段的验证环节和封测阶段的成品测试环节,一般设计阶段的验证环节和封测阶段的成品测试环节,一般和探针台配合使用。和探针台配合使用。分选机将待检测的芯片自动传送至测试工位(用于将芯片与测试机连接并进行测试的
135、位置),待检测芯片的引脚通过测试工位上的专用连接线与测试机的功能模块进行连接,测试机在进行检测之后将测试结果传送给分选机,分选机根据测试结果将检测过的芯片进行标记、分类、收料。相较传统相较传统 DRAM,HBM 增加了预键合晶圆级测试增加了预键合晶圆级测试流程,并针对流程,并针对 KGSD 增加多增加多种封装级测试工艺。种封装级测试工艺。传统 DRAM 测试流程包括晶圆级和封装级测试,常见的晶圆级测试包括晶圆老化(WFBI)测试、冷/热测试、存储器矩阵修复等组成,HBM增加了针对堆叠的核心芯片进行预键合测试,以检测 MBIST 和 PHY 电路中的缺陷,以确保堆叠的芯片具有相同的质量水平;对于
136、封装级测试,在传统 DRAM中,老化测试、热/冷测试和速度测试均在封装级别进行,然而 HBM 堆叠的 KGSD(已知的良好堆叠管芯)具有晶圆的外形尺寸,因此无法使用传统的 DRAM 封测解决方案,需要增加 TSV 测试、动态模式老化测试、温度处理无晶圆损坏测试,并通过直接访问焊盘进行高速测试。针对基础晶圆(针对基础晶圆(Base die),),HBM 的的基础晶圆为逻辑基础晶圆为逻辑 die,需要增加扫描测,需要增加扫描测试和高速试和高速 PHY 测试;测试;针对针对 TSV,需要增加开路,需要增加开路/短路测试,确保短路测试,确保 DRAM 和和 Base die 之间数据和之间数据和命令信
137、号的连接;命令信号的连接;针对针对 KGSD,需要增加高温下的动态和静态应力测试,在预键合阶段,仅,需要增加高温下的动态和静态应力测试,在预键合阶段,仅进行静态应力测试,以便在堆叠时更好施加应力;另外,进行静态应力测试,以便在堆叠时更好施加应力;另外,KGSD 还需要增还需要增加晶圆级速度测试环节,这需要特定的探针卡以满足加晶圆级速度测试环节,这需要特定的探针卡以满足 HBM 堆叠芯片并行测堆叠芯片并行测试需求;试需求;针对针对 I/O 接口,由于接口,由于 HBM 中中 I/O 接口高达接口高达 1000 个以上,而直径和间距太个以上,而直径和间距太小,无法在小,无法在 KGSD 期间测试到
138、,还需要增加特定的期间测试到,还需要增加特定的 PHY I/O 测试环节。测试环节。敬请阅读末页的重要说明 43 行业深度报告 图图 54:普通普通 DRAM 和和 HBM 测试流程测试流程对比对比 资料来源:High-Bandwidth Memory(HBM)Test Challenges and Solutions,招商证券 2、减薄:全球减薄:全球减薄设备减薄设备市场空间超市场空间超 8 亿美元亿美元,HBM 多层堆多层堆叠结构提升设备需求叠结构提升设备需求 用来提高晶圆强度的衬底材料用来提高晶圆强度的衬底材料 90%以上均需要被去除,背面减薄工艺可将晶圆以上均需要被去除,背面减薄工艺可
139、将晶圆减薄至减薄至 250um-20um。伴随硅片和晶圆尺寸的增大,其厚度也需要相应增厚来保证硅片或晶圆在制造过程中具有足够的强度,例如 6 英寸和 8 英寸的晶圆的厚度分别为 625um 和 725um,12 英寸硅片的平均厚度为 775um。对于 725um 厚度的 12 英寸晶圆,其电路层的有效厚度一般为 5-10um,总厚度 90%以上的衬底材料是为了保证晶圆在制造、测试和运送过程中具备足够的强度。随着芯片集成化程度提高,晶圆厚度一般越薄越好,因此,在晶圆制造完成之后,需要首先通过晶圆背面减薄(Back Grinding)工艺来降低厚度,再进行划片、贴片等后续封装工艺。对于单芯片封装来
140、说,经过背面减薄的晶圆厚度一般会从对于单芯片封装来说,经过背面减薄的晶圆厚度一般会从700-800um 减少到减少到 200-250um;对于多层堆叠芯片封装来说,晶圆一般需减薄;对于多层堆叠芯片封装来说,晶圆一般需减薄至至 70-80um,通过两次研磨工艺甚至可以减薄至,通过两次研磨工艺甚至可以减薄至 20um。2022 年全球晶圆减薄市场约年全球晶圆减薄市场约 8.2 亿美元,主要为亿美元,主要为 DISCO、东京精密等海外厂商、东京精密等海外厂商垄断。垄断。根据 QYResearch,2022 年全球减薄机市场规模约 8.2 亿美元,2018-2022年CAGR约为18.7%,预计到20
141、29年将增长至接近13.2亿美元,未来6年CAGR大约 6.5%。全球主要减薄机厂商包括 Disco、东京精密(TOKYO SEIMITSU)、敬请阅读末页的重要说明 44 行业深度报告 G&N、Okamoto Semiconductor Equipment Division 等,CR3 占有 85%的份额。图图 55:全球晶圆减薄机市场规模全球晶圆减薄机市场规模 资料来源:QYResearch,招商证券 先进封装、先进封装、Chiplet 等技术需要厚度更低的超薄晶圆,将大幅提升对减薄设备的等技术需要厚度更低的超薄晶圆,将大幅提升对减薄设备的需求。需求。芯片不断追求更高的集成度和更小的体积,
142、3D IC 等工艺得以发展,通过硅穿孔(TSV)等技术实现 IC 堆叠,可以有效减小 IC 之间互连的长度,将芯片整合成效能最佳、体积最小的状态,目前大部分的 3D NAND、背照型 CMOS 图像传感器、智能手机 SoC 等先进芯片均使用 2.5 或 3D IC 技术。传统的减薄工艺一般只需要将晶圆减薄至 100-200um,但在 3D 封装中,需要将多层芯片进行堆叠,往往需要将晶圆厚度减薄至 50-100um 甚至 50um 以下。根据 Yole,2019年全球减薄后晶圆出货量为 1 亿片,预计到 2025 年上升至 1.35 亿片,其中100-200um 晶圆仍占据主要份额,约为 820
143、0 万片;30-50um 晶圆增速最快,2019-2025 年 CAGR 为 98%,在 2025 年预计出货量约 170 万片。图图 56:2021-2025 年减薄后晶圆数量年减薄后晶圆数量 资料来源:Yole,招商证券整理 减薄抛光是减薄抛光是 TSV 工艺中工艺中介于电镀和键合之间的重要工序介于电镀和键合之间的重要工序,帮助实现,帮助实现 HBM 多层多层DRAM die 堆叠。堆叠。晶圆厂一般负责 TSV 的成型工艺,主要包括刻蚀和沉积,封装厂一般负责中段制程(MEOL),即硅通孔露出和背面金属化工艺,主要包括减薄、钝化和键合等,以及最后的封装工艺。在沉积完成后,需要电镀方法形成铜,
144、一般采用自下而上的方法(Bottom-up);在电镀完成后,需要对晶圆进行减薄抛光来使 TSV 背面的铜层快速露出,一般采用机械研磨等方法将晶圆减薄至50m 甚至更薄,并采用 CMP 等技术露出铜通孔中的铜柱。减薄后的晶圆将大大降低 TSV 阻抗,还会增加数据带宽、降低热阻,最终增加互连密度。在在 HBM0%5%10%15%20%25%30%35%40%0246800222023E2024E2025E2026E2027E2028E2029E收入(亿美元)yoy 敬请阅读末页的重要说明 45 行业深度报告 结构中,减薄和键合工艺配合使用,结构中,减薄和
145、键合工艺配合使用,DRAM die 之间不再需要导电凸块,芯片的之间不再需要导电凸块,芯片的厚度将薄数倍,整体堆叠高度得以降低。经过背面减薄的晶圆厚度一般从厚度将薄数倍,整体堆叠高度得以降低。经过背面减薄的晶圆厚度一般从700-800um 降至降至 70-80um,减薄到十分之一厚度的晶圆能够堆叠,减薄到十分之一厚度的晶圆能够堆叠 4-6 层,经过层,经过两次减薄工艺,晶圆可以减薄至大约两次减薄工艺,晶圆可以减薄至大约 20um,从而,从而实现未来实现未来 HBM 堆叠堆叠 16-32 层。层。图图 57:多芯片封装结构中减薄后的芯片厚度:多芯片封装结构中减薄后的芯片厚度 资料来源:SK 海力
146、士,招商证券整理 3、互连互连:超薄晶圆增加临时键合超薄晶圆增加临时键合/解键合需求,混合键合市解键合需求,混合键合市场有望指数级增长场有望指数级增长 键合(键合(Bonding)属于后道封装过程,)属于后道封装过程,按键合的材料类型按键合的材料类型分为晶圆分为晶圆-晶圆键合晶圆键合(Wafer-to-Wafer,W2W)和芯片)和芯片-晶圆键合(晶圆键合(Die-to-Wafer,D2W)。键合主要指将两片表面清洁、原子级平整的同质或异质半导体材料经表面清洗和活化处理,在一定条件下直接结合,通过范德华力、分子力甚至原子力使两片半导体材料成为一体的技术。W2W 是指通过化学或物理反应将晶圆与晶
147、圆、晶圆与玻璃基板或其他材料圆片永久结合起来的工艺。键合过程为,在外能量的作用下,两个晶圆接合界面上的原子相互反应形成共价键,从而使晶圆接合并达到一定的界面键合强度;D2W 指在划片工艺之后,将从晶圆上切割的芯片黏贴在封装基板(引线框架或印刷电路板)上。芯片键合的传统方法为引线键合(Wire Bonding),先进方法采用倒装键合(Flip Chip Bonding)、混合键合(Hybrid Bonding)等。图图58:W2W 键合过程及后续工艺流程键合过程及后续工艺流程 图图59:晶圆键合设备流程晶圆键合设备流程 资料来源:3D 集成晶圆键合装备现状及研究进展,招商证券 资料来源:3D 集
148、成晶圆键合装备现状及研究进展,招商证券 敬请阅读末页的重要说明 46 行业深度报告 图图 60:D2W 键合工艺键合工艺 资料来源:SK 海力士,招商证券 按键合的方式,键合分为按键合的方式,键合分为临时键合和永久键合,键合精度、材料选择等是工艺成临时键合和永久键合,键合精度、材料选择等是工艺成功的关键功的关键。晶圆键合工艺是半导体器件物理、材料物理化学、精密机械设计、高精度自动控制等多学科交叉的领域,对对位精度、键合温度均匀性、键合压力范围及控制精度等要求较高,另外用于固定薄晶圆的键合胶也是工艺成功的关键。1)临时键合:)临时键合:超薄晶圆需要超薄晶圆需要临时键合临时键合至较厚的载体上,方便
149、后续进行减薄等一至较厚的载体上,方便后续进行减薄等一些列工艺。些列工艺。柔性、易碎、翘曲是减薄后晶圆的特点,因此需要通过临时键合工艺,用中间材料将薄晶圆键合到较厚的载体片上,再经过背面减薄、TSV 开孔、重布互联等工艺后,再输入外界能量(光、电、热、力等)使粘层失效,无损地将晶圆与载体片分离;2)永久键合:指通过化学或物理反应将晶圆与晶圆、晶圆与玻璃基板或其他材)永久键合:指通过化学或物理反应将晶圆与晶圆、晶圆与玻璃基板或其他材料圆片永久结合起来的工艺。料圆片永久结合起来的工艺。在外能量的作用下,两个晶圆接合界面上的原子相互反应形成共价键,从而使晶圆接合并达到一定的界面键合强度。在 TSV 封
150、装工艺中,为了形成良好的电学互联,精度要达到连接柱直径的 10%,对连接精度要求很高,TSV 连接柱的直径一般为 5um 以下,那么连接精度就要求在 0.5um以下,这时候采用晶圆对晶圆的对准方式就能实现很好的对准精度。混合键合技术能够实现芯片模块间快速通信,成为最先进的新一代键合技术。混合键合技术能够实现芯片模块间快速通信,成为最先进的新一代键合技术。在混合键合之前,2D、2.5D、3D 封装均使用焊球凸点或微凸点来实现芯片与基板、芯片与中介层之间的连接,而由于凸点间距逐渐缩小,芯片模块间的通信速度不断提升,原来的锡焊球无法满足工艺要求;铜-铜混合键合(Hybrid Bonding)通过精密
151、间隔的铜焊盘垂直连接 D2W 或 W2W,信号丢失率可忽略不计,在高数据量、高性能计算领域优势明显。敬请阅读末页的重要说明 47 行业深度报告 图图 61:采用微凸块和混合键合工艺对比:采用微凸块和混合键合工艺对比 资料来源:SK 海力士,招商证券 HBM 的多层堆叠结构的多层堆叠结构带动临时键合、混合键合等需求。带动临时键合、混合键合等需求。在传统工艺中,每一代SoC 上集成更多的功能,但芯片缩放越来越困难,而使用 Chiplet 技术,大型 SoC被分割成更小的 Dies 或 IP 块,并重新聚合成一个全新的设计,对临时键合、混合键合等需求均有拉动。1)临时键合需求:)临时键合需求:在 C
152、hiplet 技术中,为了缩小芯片体积、提高芯片散热性能和传导效率等,晶圆减薄工艺会被大量应用,为了不损伤减薄中以及减薄后晶圆,需要将晶圆片与玻璃基板临时键合并在完成后续工艺后最终解键合。同时在Chiplet 技术路线下,Fan-out、CoWoS 等封装工艺路线都要经过单次或多次的临时键合及解键合工艺来实现芯粒互联;2)混合键合需求:)混合键合需求:随着台积电、英特尔、三星等厂商开始采用 3D 封装工艺,Chiplet 应用范围扩大,混合键合越来越多用于 CPU、GPU 及高性能计算中。最早实现 Hybrid Bonding 量产的产品是索尼的图像传感器,下部电路芯片和上部像素芯片之间增加了
153、铜连接焊盘,同时建立物理和电气连接;又如在 AMD 的一个方案中,AMD 堆叠了 SRAM 和一个处理器芯片,形成了 3D 封装形式,并在顶部结合了高性能的 MPU 和高速缓存,使用混合键合连接各个 Die。表表 10:混合键合工艺的应用混合键合工艺的应用 背面发光背面发光 图像传感器图像传感器 存储存储 逻辑逻辑 3D NAND HBM 叠层叠层 DDR6+下一代存储下一代存储 SoC 分割分割成更小的成更小的 Die 缩放缩放 键合 形式 光电二极管+DRAM+Logic NAND Block+周边 12+层堆叠 Peri under DRAM Peri on MRAM、FeRAM、PCM
154、 3D SoC SRAM+Logic Backside PDN(5nm node)键合 过程 W2W W2W W2W and/or W2W W2W W2W W2W W2W hybrid hybrid hybrid hybrid hybrid hybrid hybrid hybrid 间距 2um1um 2um1um 5um3um 2um1um 2um1um 9um2um 2um By scanner 成熟度 大规模生产 大规模生产 研发 研发 研发 试产 试产 试产 敬请阅读末页的重要说明 48 行业深度报告 应用 示例 资料来源:EVG Group,招商证券 半导体晶圆键合设备全球市场空间大
155、约半导体晶圆键合设备全球市场空间大约 9 亿美元,混合键合设备细分市场有望迎亿美元,混合键合设备细分市场有望迎来指数级增长。来指数级增长。根据 thebrainyinsights 数据,2021 年全球半导体键合设备市场空间大约 8.74 亿美元,当前晶圆键合设备主要为海外厂商垄断,行业龙头包括奥地利的 EVG Group、德国的 SUSS、日本 TEL 等,国内尚无多模块集成的晶圆键合设备,技术与国外差距较大,国内主要厂商为拓荆科技、上海微电子(SMEE),苏州芯图(芯睿科技)、华卓精科等,芯源微正在研临时键合机、解键合机等新型设备。伴随着“后摩尔时代”来临,三维集成领域进入成长期,混合键合
156、设备细分市场有望迎来指数级增长。4、模塑:模塑:用于对芯片进行塑封保护用于对芯片进行塑封保护,HBM 采用注塑或压缩采用注塑或压缩式工艺进行塑封式工艺进行塑封 模塑(模塑(Molding)是指一种将芯片或器件模塑料进行保护的封装工艺是指一种将芯片或器件模塑料进行保护的封装工艺,传统封装,传统封装采用注射或传递式成型塑封法采用注射或传递式成型塑封法。模塑使裸露于外界的芯片、器件及连接线路通过外部塑封体得到保护,免受外界环境对半导体器件的侵蚀,避免产品失效。对于传统的 TSSOP、DFN、QFN 及 BGA 等封装工艺,半导体塑封主要采用注射式成型塑封(Injection Molding)或传递成
157、型塑封(Transfer Molding),过程为:将引线键合连接芯片的基板放在两个模具上,同时将环氧树脂模塑料片放在中间,然后通过注塑头施加热量和压力,使环氧树脂塑料熔化为液态,流入模具并填充间隙。图图 62:引线键合采用的传递式模塑工艺:引线键合采用的传递式模塑工艺 资料来源:Compensation Method for Die Shift Caused by Flow Drag Force in Wafer-Level Molding Process,Hye Jin Lee,招商证券整理 压缩式成型塑封主要用于存储器等多叠层超薄晶圆的封装,可满足晶圆级等先进压缩式成型塑封主要用于存储器
158、等多叠层超薄晶圆的封装,可满足晶圆级等先进封装需求。封装需求。在压缩式塑封(Compression Molding)工艺中,模具会预先填充环 敬请阅读末页的重要说明 49 行业深度报告 氧树脂模塑料粉末,基板放入模具中后,随后施加热量和压力,模具中填充的环氧树脂模塑料粉末会液化并最终成型。其会即刻熔化为液体,无需流动便可填充间隙。由于塑封料在模具腔体内无流动,可实现无冲线塑封和超薄塑封,也可实现晶圆级等大面积塑封需求,例如 LGA、WLCSP 等。随着扇出型封装的兴起,随着扇出型封装的兴起,塑封起到重新构造晶圆、面板,并增加芯片布线、布球的功能,在主流的晶圆级、塑封起到重新构造晶圆、面板,并增
159、加芯片布线、布球的功能,在主流的晶圆级、面板级扇出封装中,塑封工艺是关键工艺。面板级扇出封装中,塑封工艺是关键工艺。图图 63:多叠层封装采用的压缩式模塑工艺:多叠层封装采用的压缩式模塑工艺 资料来源:Compensation Method for Die Shift Caused by Flow Drag Force in Wafer-Level Molding Process,Hye Jin Lee,招商证券整理 SK 海力士的海力士的 HBM 采用采用 MR 方式方式将液态将液态 LMC 注入注入 DRAM die 之间之间。考虑到批量回流工艺的效率高、可靠性高,因此 SK 海力士在其
160、HBM3、HBM3e 堆叠中并未采用 TC(热压)方式,而是沿用 MR(批量回流)工艺进行 HBM 间模塑材料的填充。具体过程为,直接将液态的 LMC 材料注入 DRAM die 之间的空间,并进行固化,从而达到芯片保护的作用。SK 海力士采用新技术来控制晶圆变薄,使其不会弯曲;在 12 层及以上堆叠过程中,瞬时施加强烈热量,以确保连接芯片的凸块均匀拼接;将 LMC 材料置于真空下,并施加 70 吨压力来填充芯片之间的狭小空间。敬请阅读末页的重要说明 50 行业深度报告 五五、HBM 提升提升 EMC、电镀液、电镀液、PSPI 等等材料材料需求需求,CXL 搭配搭配 HBM 有望突破有望突破
161、CPU 内存极限内存极限 1、环氧塑封料环氧塑封料:用于保护芯片,用于保护芯片,GMC 和和 LMC 及原材料均及原材料均受益于受益于 HBM 需求增长需求增长 EMC起到保护芯片的功能,起到保护芯片的功能,在传统和先进封装中均广泛应用在传统和先进封装中均广泛应用。环氧塑封料(Epoxy Molding Compound,简称 EMC)全称为环氧树脂模塑料,属于包装材料,是用于半导体封装的一种热固性化学材料,由环氧树脂为基本树脂,以高性能酚醛树脂为固化剂,加入硅微粉等填料,以及添加多种助剂加工而成,主要功能是保护半导体芯片不受外界环境(水汽、温度、污染等)的影响,并实现导热、绝缘、耐湿、耐压、
162、支撑等复合功能。EMC 在传统引线键合封装和先进封装中均广泛应用,FOWLP、多层堆叠封装对塑封料提出了更高的性能要求。图图 64:EMC 应用场景应用场景 资料来源:华海诚科招股书,招商证券 EMC 主要包括液态塑封料(主要包括液态塑封料(LMC,Liquid Molding Compound)与颗粒状环)与颗粒状环氧塑封料(氧塑封料(GMC,Granular Molding Compound)。)。1)GMC 指采用均匀撒粉的方式,在预热后变为液态,将带有芯片的承载板浸入到树脂中而成型,具有操作简单、工时较短、成本较低等优势;2)LMC 指通过将液态树脂挤压到产品中央,在塑封机温度和压力的
163、作用下增强液态树脂的流动性,从而填满整个晶圆。LMC 具备可中低温固化、低翘曲、模塑过程无粉尘、低吸水率及高可靠性等优具备可中低温固化、低翘曲、模塑过程无粉尘、低吸水率及高可靠性等优点,是目前用于晶圆级封装的相对成熟的塑封材料。点,是目前用于晶圆级封装的相对成熟的塑封材料。EMC 的填充料成本占比最高,主要无机填料为球形硅微粉的填充料成本占比最高,主要无机填料为球形硅微粉和球形氧化铝和球形氧化铝。目前常见的环氧塑封料主要组成为填充料(60-90%)、环氧树脂(18%以下)、固化剂(9%以下)、添加剂(约 3%)。在先进封装中,环氧塑封料的主要要求为高耐潮、低应力、低射线、耐浸焊和回流焊,需要保
164、证塑封性能好,因此环氧塑封料必须在无机树脂基体内掺杂无机填料,现有的无机填料基本均为二氧化硅球形微粉,具有降低塑封料的线性膨胀系数。增加热导,降低介电常数,环保、阻燃,减小内应力,防止吸潮,增加塑封料强度,降低封装料成本等作用。另外,针对另外,针对HBM 封装等高导热存储芯片封装领域,封装等高导热存储芯片封装领域,颗粒封装材料(颗粒封装材料(GMC)中)中一般将一般将 TOP CUT20um 以下球形硅微粉以下球形硅微粉和和 Low 球形氧化铝球形氧化铝复配混用,散热要求越高的场复配混用,散热要求越高的场景,景,Low-球铝的球铝的占比会越高占比会越高。传统的传统的 HBM 采用采用 TC-N
165、CF 技术技术堆叠堆叠 DRAM die 并用并用 GMC 材料保护材料保护,SK 海力海力士独创士独创 MR-MUF 技术技术将将 LMC 材料材料用于用于塑封塑封 HBM3 和和 HBM3E。1)TC-NCF(Non-Conductive Film,非导电薄膜)技术即将上下两层 die 之间通过 TC(Thermal Compression,热压)工艺,用一层薄膜(DAF 膜等)连接起来,美 敬请阅读末页的重要说明 51 行业深度报告 光和三星均采用这种方式,SK 海力士在 HBM 和 HBM2E 中也采用这种方式。在传统 HBM 中,塑封模具中会预先填充 GMC 颗粒状塑封料,随后施加热
166、量和压力,使 GMC 液化并最终成型为塑封料;2)MR-MUF(Mass reflow bonding with molded underfill,批量回流模制底部填充)为 SK 海力士独创的技术,即将半导体芯片堆叠后,为保护芯片和芯片之间的电路,在其空间中注入液体形态的保护材料,即 LMC(Liquid Molding Compound)并进行固化。由于 MR-MUF 效率高、可靠性高,散热性能好(SK 海力士的 MR-MUF 技术焊接温度比 TC-NCF技术低 14),因此 SK 海力士将 MR-MUF 技术用于 HBM3 和 HBM3E 产品中,并凭借此技术迅速占领 HBM 大多数市场份
167、额。图图 65:MR-MUF 技术的焊接温度(技术的焊接温度(Tj)比)比 TC-NCF 技术低技术低 14 资料来源:a study on the advanced chip to wafer stack for better thermal dissipation of high bandwidth memory_SK 海力士,招商证券 2021 年国内年国内 EMC 市场规模约市场规模约 66 亿元,亿元,HBM 带动带动 EMC 和球形硅微粉等市场增和球形硅微粉等市场增长长。根据华海诚科招股书,2021 年中国包封材料市场规模为 73.6 亿元,EMC占比大约 90%,即市场规模大约
168、66.24 亿元。在传统封装领域,内资 EMC 厂商份额逐渐提升,在 SOP、QFP 等领域仍存在一定替代空间;在先进封装领域,市场份额基本被住友电木、蔼司蒂、京瓷等外资厂商占据,内资厂商多处于客户验证阶段,少数厂商产品实现小批量产。敬请阅读末页的重要说明 52 行业深度报告 表表 11:环氧塑封料国产化水平及竞争格局:环氧塑封料国产化水平及竞争格局 下游封装类下游封装类型型 下游封装技术下游封装技术 环氧塑封料国产化程度环氧塑封料国产化程度 环氧塑封料竞争格局环氧塑封料竞争格局 传统封装 DO、SMX、TO、DIP 等 由内资厂商主导,但在应用于 TO 领域内外资整体相当 市场主要由华海诚科
169、、衡所华威、长春塑封料等塑封料厂商主导 SOD、SOT、SOP、QFP 等 仍由外资厂商主导,但内资厂商的市场份额逐步提升,大部分产品性能已达到外资同类产品的水平,仍存在一定的替代空间 市场份额主要被住友电木、蔼司蒂、华海诚科、衡所华威四家厂商占据 先进封装 QFN、BGA 等 外资厂商基本处于垄断地位,内资厂商产品仍主要处于导入考核阶段,较少数内资厂商已实现小批量生产,存在较大的替代空间 市场份额基本由住友电木、蔼司蒂等外资领先厂商占据,以发行人为代表的较少数内资厂商已陆续通过主流厂商的考核验证,并实现小批量生产 SiP、MUF、FOWLP 等 外资厂商处于垄断地位,内资厂商处于产品开发或客
170、户考核阶段,产品类别相对单一 市场份额主要由住友电木、蔼司蒂、京瓷等外资领先厂商占据,国内布局相对有限,华海诚科目前用于FC、SiP、FOWLP/FOPLP 等领域封装材料陆续通过客户验证 资料来源:华海诚科招股书,招商证券 2、电镀液:用于形成电镀液:用于形成 HBM 铜凸块、铜凸块、TSV 等结构,全球市等结构,全球市场空间约场空间约 10 亿美元亿美元 电镀液及添加剂主要用于铜互联工艺,全球市场空间接近电镀液及添加剂主要用于铜互联工艺,全球市场空间接近 10 亿美元。亿美元。电镀液在IC 制造和先进封装中用于铜互联工艺,该工艺贯穿整个芯片制造过程,随着先进封装对镀铜材料需求快速增加,大马
171、士革铜互联、先进封装凸块电镀(Cu Pillar/Bump/RDL/UBM)、硅通孔(TSV)电镀等材料市场不断扩大,在先进芯片中互联材料首尾连接可长达约 30 英里。根据 TECHCET,铜互联材料是电镀材料最大的细分市场,2022 年全球半导体用电镀材料市场规模约 10.2 亿美元,预计到 2026 年增加至 13.8 亿美元。IC 制造工艺中金属布线用于连接电子元器件层,铜互联采用电镀方式实现铜的制造工艺中金属布线用于连接电子元器件层,铜互联采用电镀方式实现铜的填充。填充。IC 最初采用铝作为导体,二氧化硅作为绝缘体来构造互联层,整个互联过程从在晶圆表面沉积铝开始,然后通过选择性刻蚀形成
172、布线图案,沉积氧化物绝缘体,并利用 CMP 使晶圆表面平坦化;随着器件特征尺寸缩小,越来越薄的铝线无法实现所需的速度和电性能,铜互联结构逐步取代铝互联;然而由于铜不易形成挥发性化合物,因此使用等离子干法刻蚀铜的方法并不可行,因此工程师选择采用大马士革铜互联镶嵌工艺(即借鉴大马士革的珠宝行业,先在基底金属上刻蚀图案,再将贵金属嵌入图案中),先沉积和刻蚀电介质材料,再将铜填充到图案之中。为了实现高深宽比图案特征,必须采用电镀而非 PVD 或 CVD 来填充铜金属。敬请阅读末页的重要说明 53 行业深度报告 图图 66:铝互联和铜互联需要的不同工艺流程:铝互联和铜互联需要的不同工艺流程 资料来源:S
173、K 海力士、招商证券整理 HBM 引入铜互连工艺,引入铜互连工艺,电镀液电镀液主要主要用于形成铜柱凸块、用于形成铜柱凸块、TSV 等结构等结构。由于 HBM中需要的 Bumping、RDL、TSV 等先进封装工艺引入前道电镀工艺环节,自然带来电镀液需求提升。1)在 Bumping 工艺中,电镀步骤位于涂胶显影工艺环节之后,用于制备一定厚度的金属层作为 UBM;2)在 RDL 工艺中,每层 RDL 布线均需要电镀来形成铜凸块;3)TSV 技术的核心是在晶圆上打孔,并在硅通孔中进行镀铜填充,从而实现晶圆的互联和堆叠,在无需继续缩小芯片线宽的情况下,提高芯片的集成度和性能。和芯片制造铜互连工艺相比,
174、和芯片制造铜互连工艺相比,TSV 电镀的尺寸电镀的尺寸更大,通常需要更长的沉积时间、更高的电镀速率以及多个工艺步骤,铜互连电更大,通常需要更长的沉积时间、更高的电镀速率以及多个工艺步骤,铜互连电镀液及添加剂成本占镀液及添加剂成本占 TSV 工艺的总成本比重也更高。工艺的总成本比重也更高。3、PSPI:用于用于 HBM 的的硅硅中介层中介层,形成,形成 RDL 的再钝化层的再钝化层 聚酰亚胺聚酰亚胺具有最高的阻燃等级,高端光敏聚酰亚胺主要用作光敏光刻胶和先进封具有最高的阻燃等级,高端光敏聚酰亚胺主要用作光敏光刻胶和先进封装树脂装树脂。聚酰亚胺(Polymide,PI)指分子结构主链中含有酰亚胺结
175、构的高分子聚合物,高性能 PI 的主链大多以芳环和杂环为主要结构单元。PI 具有最高的阻燃等级(UL-94),良好的电气绝缘性能、机械性能、化学稳定性、耐老化性能、耐辐照性能、低节点损耗等,这些性能在很宽的温度范围(-269-400)内不会发生显著变化。光敏聚酰亚胺(Photosensitive Polyimide,PSPI)是一类在高分子链上兼有亚胺环和光敏基因,具备良好的感光性能,主要用于光刻胶和电子封装领域。作为光刻胶:在 PSPI 中添加增感剂、稳定剂等可以得到 PSPI 光刻胶,相较于传统光刻胶,PSPI 光刻胶无需涂覆光阻隔剂;作为电子封装材料:PSPI 可用于:缓冲涂层、钝化层、
176、射线屏蔽材料、层间绝缘材料、晶片封装材料等,还可以用于集成电路和多芯片封装件的封装。敬请阅读末页的重要说明 54 行业深度报告 在在 HBM 中,中,PSPI 主要用于主要用于硅中介层硅中介层的的 RDL 等。等。在 WLCSP 封装中,为了缓解焊球凸点和再布线层对芯片产生的应力,会在芯片表面和再布线层表面涂覆一层高分子薄膜材料,成为再钝化层(Repassivation Layer)。目前,再钝化层材料除了 PSPI 外,还包括聚苯并咪唑(Polybenzoxazole,PBO)和苯并环丁烯(Benzocyclobutene,BCB)。图图 67:CoWoS 工艺工艺 RDL 布线中的布线中的
177、 PSPI 资料来源:台积电,招商证券 4、封装基板:用于、封装基板:用于 HBM 硅中介层下方,实现硅中介层下方,实现内部芯片与外内部芯片与外部部电路电路间的电气连接间的电气连接 封装基板(封装基板(Package Substrate)可分为有机基板和陶瓷基板)可分为有机基板和陶瓷基板。有机基板由有机树脂和玻璃纤维布为主要材料,一般采用铜箔作为导体,有机树脂包括:环氧树脂(FR4)、BT 树脂(耐高温双马来酰亚胺三嗪)、PPE 树脂(聚苯醚树脂)、PI 树脂(聚酰亚胺树脂)等;陶瓷基板具备更好的机械和热性能,通常包括:HTCC、LTCC、氮化铝等。英特尔主导研发了一种以 ABF(Ajinom
178、oto Build-up film)作为原材料的基板,相较于 BT 基板,ABF 材质可用于线路较细、高讯息传输的 IC,比如 CPU、GPU 等芯片。ABF 作为基板的优势在于,铜箔基板上面附着 ABF 增厚薄膜就可以直接电镀铜来形成金属布线,不需要热压过程。在 HBM 中,硅中介层一般采用 FC-BGA(球栅阵列封装)和下方封装基板相连,采用锡球来代替引线框架,锡球附着于基板底部,引线与基板顶部连接,基板中心位置由名为“芯板(Core)”的材料构成,通过将铜箔与浸渍过有机树脂的玻璃纤维粘合在一起,金属引线在铜箔表面形成,之后在铜箔上涂覆阻焊剂,露出作为保护层的金属焊盘/引脚。敬请阅读末页的
179、重要说明 55 行业深度报告 图图 68:经过封装工艺处理过的基板:经过封装工艺处理过的基板 资料来源:SK 海力士,招商证券 5、CXL:能够突破能够突破 CPU 内存极限,未来将越来越多搭配内存极限,未来将越来越多搭配HBM 使用使用 HBM 目前目前直接搭配直接搭配 CPU 的的应用较少,主要系应用较少,主要系访问延迟访问延迟等限制。等限制。由于目前 HBM访问延迟较高,在访问某个特定位置的数据后,需要若干周期的时间以后才能抵达该位置并执行控制器发出的指令,同时由于 HBM 和主芯片在同一系统中进行SiP 封装,灵活性欠佳,HBM 显存容量基本不可以后续进行扩展。因此,当前HBM 在 P
180、C 等 CPU 中应用较少,2022 年 11 月,英特尔发布全球首款配备 HBM内存的x86 CPU“至强Max”Sapphire Rapids,搭载4个HBM2e Stack供64GB,每个 HBM2e Stack 容量为 16GB。CXL 使使 CPU 内存空间和连接设备上的内存之间保持一致性,进而突破内存空间和连接设备上的内存之间保持一致性,进而突破 CPU 内内存极限。存极限。AI 等应用促进处理的数据指数级增长,服务器越来越多转向异构计算架构,即使用专门的 GPU 等加速器从 CPU 卸载专门的工作负载。CXL(Compute Express)是一种新的开放式互联标准,是基于 PC
181、Ie 物理层的高速、低延迟 CPU设备互联技术,可以在主机 CPU 和互联设备(例如加速器和存储器扩展设备)之间提供高效连接,能够简化加速器和内存扩展的互联和可扩展性。CXL 本质特点是确保直接挂载的 CPU 存储器与 CXL 设备上的存储器保持一致,使主机和CXL 设备之间可以无缝读取相同的数据;允许通过 CXL 接口将各种类型(例如易失性、持久性等)的存储器挂载到主机,这与底层存储器技术无关;支持交换和内存池,交换功能可实现存储器扩展,池化功能允许动态分配和释放存储器资源,从而提高整体系统效率。敬请阅读末页的重要说明 56 行业深度报告 图图 69:CXL 工作原理工作原理 资料来源:三星
182、电子官网,招商证券 CXL 可以作为可以作为 HBM 的补充,未来的补充,未来 CXL 将越来越多搭配将越来越多搭配 HBM 使用。使用。根据 CXL联盟,目前确定了 CXL 互联的三类主要设备:智能 NIC 等加速器通常缺少本地内存,CXL 可以实现 NIC 等设备和主机 CPU 的 DDR 内存进行通信;GPU、ASIC 和 FPGA 等都配备了 DDR 或 HBM 内存,可以使用 CXL 使主机 CPU 的内存在本地供加速器使用,加速器的内存也可在本地供 CPU 使用,并且加速器和CPU 的内存位于同一个缓存的一致域中,有助于提升异构工作负载;连接内存缓冲区(buffer),为主机 CP
183、U 提供额外的带宽和容量。图图 70:CXL 连接的三类设备连接的三类设备 资料来源:Intel,招商证券 敬请阅读末页的重要说明 57 行业深度报告 六六、海外厂商较早布局海外厂商较早布局 HBM,三大存储原厂三大存储原厂占占据据HBM 主要主要市场份额市场份额 HBM 市场份额集中于三大存储原厂,国内厂商尚不具备市场份额集中于三大存储原厂,国内厂商尚不具备 HBM DRAM Die 生产生产和堆叠能力。和堆叠能力。HBM 的 DRAM Die 由存储原厂负责生产和堆叠,目前份额集中于三大原厂 SK 海力士、三星、美光,根据集邦咨询,2022 年三大原厂 HBM 市占率分别为 SK 海力士
184、50%、三星约 40%、美光约 10%,其中 SK 海力士是 HBM3产品的领先生产商,是英伟达 AI 服务器 GPU 的主要供应商,三星主要满足其他云端服务商的订单。表表 12:2022-2024 年年 HBM 市占率预估市占率预估 厂商厂商 2 2022022 2 2023E023E 2 2024E024E SK 海力士 50%46-49%47-49%三星 40%46-49%47-49%美光 10%4-6%3-5%资料来源:Trendforce,招商证券 图图 71:海外厂商海外厂商 HBM 产品技术路线规划产品技术路线规划 资料来源:Trendforce、招商证券 1、SK 海力士海力士
185、:开发开发 MR-MUF 技术,技术,HBM 市场份额领先市场份额领先 HBM 市场先行者和领军者,全面市场先行者和领军者,全面推进推进第五代第五代 HBM3E。2014 年,SK 海力士和AMD 合作开发第一代硅通孔 HBM 产品,还联合开发了高带宽三维堆叠存储器技术和相关产品。HBM1 带宽高于 DDR4 和 GDDR5 产品,同时以较小的外形尺寸消耗较低的功率,更能满足 GPU 等带宽需求较高的处理器;SK 海力士凭借HBM1 占据市场领先地位,并在 2018 年发布第二代产品HBM2,其中一项关键的改进是伪通道模式(Pseudo Channel Model),将一个通道分为两个单独的
186、64bit I/O 子通道,为每个存储器的读写访问提供 128 位预取,从而优化内存访问并降低延迟,从而有效提供带宽;2020 年,SK 海力士发布第三代产品 敬请阅读末页的重要说明 58 行业深度报告 HBM2E,作为 HBM2 的扩展版本,HBM2E 堆叠 8 个 16Gb 芯片,总容量是 HBM2的两倍,处理速度高达 3.6Gbps;2021 年 10 月,SK 海力士成功开发出第四代产品HBM3,并于 2022 年 6 月开始生产;2023 年 4 月,公司宣布,在全球率先研发出 12 层堆叠的 HBM3 内存,单颗容量最高可达 24GB;2023 年 8 月,公司成功开发出面向 AI
187、 超高性能 DRAM 新品 HBM3E,预计 24H1 量产;公司预计 2026 年量产 HBM4。SK 海力士海力士开发开发 MR-MUF 等堆叠技术,巩固等堆叠技术,巩固 HBM 市场领先地位。市场领先地位。SK 海力士的CoC(芯片内建芯片)技术将凸块互联(Bump Interconnection)与引线键合(Wire Bonding)相结合,在提高运行速度和降低成本方面实现突破,目前专门用于 SK海力士的高密度模块的量产与生产;SK 海力士还开发了 MR-MUF 技术,用于其HBM2E、HBM3 等产品中,确保了 HBM 10 万多个微凸块互连,另外该技术还提供更出色的散热性能;SK
188、海力士还正在开发 Cu-to-Cu(Copper-to-Copper,铜-铜)键合工艺替代焊接,Cu-to-Cu 可在完全不使用凸块的情况下将间距缩小至 10 微米及以下;另外,SK 海力士还在研究用于 Fan-out RDL(扇出型重新分配层)技术等,计划将该技术用于以 Chiplet 为基础的集成封装,计划到 2025年实现 1um 及以下水平的 RDL 技术。图图 72:SK 海力士最新封装技术海力士最新封装技术 资料来源:SK 海力士,招商证券 MR-MUF 技术工艺效率高并且散热性能更好,难点在于热翘曲和芯片偏移问题。技术工艺效率高并且散热性能更好,难点在于热翘曲和芯片偏移问题。对比
189、 TC-NCF 技术,MR-MUF 技术的优点为:1)提高工艺效率:)提高工艺效率:NCF 在每堆叠一个芯片时铺上一层薄膜型材料,MR-MUF 在注入 LMC 材料之后,批量加热每个芯片以实现瞬时互连;2)散热性能更好,提高凸块互连的质量:)散热性能更好,提高凸块互连的质量:MR-MUF由于采用了高导热率的模制底部填充(MUF)材料,散热效果较 NCF 技术更好,提高了 HBM 超过 10 万个微凸块互连的质量。MR-MUF 工艺难点在于热翘曲和工艺难点在于热翘曲和芯片偏移问题芯片偏移问题,由于模塑是一个热反应过程,芯片堆叠过程中需要对每个芯片施加瞬时高热,进而熔化凸点实现连接,但由于环氧树脂
190、和晶圆之间的热膨胀系数(CTE)相互不匹配,回流(MR)工艺会导致热翘曲、非接触性断开、局部桥接等问题,进而导致焊接凸点失效;另外,料盘/载具在传输过程中容易受振动影响,回流过程中芯片也会自由偏移,因此芯片的位置也容易发生偏移。敬请阅读末页的重要说明 59 行业深度报告 图图 73:回流焊工艺中产生的热翘曲和自由偏移问题:回流焊工艺中产生的热翘曲和自由偏移问题 资料来源:使用应变计测量回流焊过程中印刷电路板的热致曲率和翘曲_廖孟杰,招商证券 SK 海力士对海力士对 MR-MUF 技术进行改进,一定程度上解决热翘曲和芯片偏移问题。技术进行改进,一定程度上解决热翘曲和芯片偏移问题。SK 海力士在先
191、进的 HBM 中仍沿用 MR-MUF 工艺,主要系 MR-MUF 具备可靠性和高效率,并且 SK 海力士对原始的 MR-MUF 技术进行改进,主要包括:采用新技术来控制晶圆变薄,使其不会弯曲;在 12 层及以上堆叠过程中,瞬时施加强烈热量,以确保连接芯片的凸块均匀拼接;将 LMC 材料置于真空下,并施加 70 吨压力来填充芯片之间的狭小空间。SK 海力士表示,改进后的 MR-MUF技术保留原来工艺的优点,将生产率提高了约 3 倍,并将散热性能提高了约 2.5倍。2、三星三星:开创:开创 I-Cube 及及 X-cube 先进封装工艺,先进封装工艺,2024 年年HBM 产能将提高产能将提高 2
192、.5 倍倍 24H1 HBM3 产量将占产量将占 HBM 总产量一半以上,计划将总产量一半以上,计划将 2024 年年 HBM 产能提高产能提高2.5 倍倍。2020 年,三星推出 HBM2;2021 年 2 月,三星推出 HBM-PIM(存算一体)芯片;2022 年,三星开始量产 HBM3,在 23Q3 量产并开始供应 8 层和12 层产品,计划在 23Q4 进一步扩大生产规模,预计到 24H1,HBM3 将占公司HBM 总产量的一半以上;公司计划 24H1 推出 HBM3E,24GB 8 层样品已经推出,计划 24H1 量产,36GB 12 层产品计划于 24Q1 提供样品。为了保持行业最
193、为了保持行业最高的高的 HBM 产能,公司计划将产能,公司计划将 2024 年年 HBM 产能提高产能提高 2.5 倍。倍。三星自研三星自研 I-Cube 技术技术和和 X-Cube 技术技术,分别用于,分别用于 2.5D 和和 3D 封装。封装。I-Cube 2.5D 封装技术通过并行水平芯片放置,封装技术通过并行水平芯片放置,处理处理热量积存并扩展性能热量积存并扩展性能。三星 I-Cube 技术为 2.5D 封装工艺的一种,包括 I-Cube S 和 I-Cube E,I-Cube S 将逻辑芯片与一组 HBM 裸片水平放置在硅中介层上,通过调整材料和厚度来控制硅中介层翘曲和热膨胀问题;I
194、-Cube E 采用硅嵌入式结构,采用大尺寸、无 TSV 结构的 RDL 中介层。目前三星已开发出 I-Cube 8(搭载 8 组 HBM)技术,计划 2024 年量产;敬请阅读末页的重要说明 60 行业深度报告 图图74:I-Cube S 图图75:I-Cube E 资料来源:三星电子官网,招商证券 资料来源:三星电子官网,招商证券 X-Cube 3D 封装技术包括微凸块和铜混合键合两种方案。封装技术包括微凸块和铜混合键合两种方案。X-Cube 技术中,上下层逻辑 die 通过微凸块(X-Cube TCB 方案)或铜混合键合(HCB 方案)连接,在铜混合键合方案中,堆叠精度进一步降低,三星正
195、在开发低于 4um等更精细的 HCB 技术。图图76:X-Cube(微凸块方案)(微凸块方案)图图77:X-Cube(铜混合键合方案)(铜混合键合方案)资料来源:三星电子官网,招商证券 资料来源:三星电子官网,招商证券 三星三星 HBM4 路线图计划变革路线图计划变革 HBM 封装方式。封装方式。在 HBM4 技术路线图中,三星计划使用 FinFET 节点生产逻辑 die,封装方式计划将从基于凸点的 CoW(chip on wafer)变为基于 Pad 连接的 Bumpless 形式,并且三星正开发针对高温热特性优化的非导电粘合膜(NCF)组装与混合键合(HCB)等技术。图图 78:三星:三星
196、 HBM4 路线图路线图 资料来源:semianalysis,招商证券 敬请阅读末页的重要说明 61 行业深度报告 3、美光美光:计划通过计划通过 HBM3E 实现弯道超车,正开发实现弯道超车,正开发 HBM Next产品产品 HBM3E 产品正在英伟达验证,预计产品正在英伟达验证,预计 2024 财年产生财年产生 7 亿美元收入。亿美元收入。美光于 2020年开始提供 HBM2 产品,用于高性能显卡、服务器处理器等领域;美光跳过 HBM3直接提供HBM3E样品,在8层die堆叠的情况下,单颗HBM容量提升至24GB,美光HBM3E 采用 1nm DRAM 和TSV技术,正在获得英伟达的产品资
197、格认证,预计 2024 年初量产,2024 财年产生 7 亿美元收入。美光预计于美光预计于 2026 年推出年推出 HBM Next 产品。产品。美光正在开发 HBM Next 产品,预计2026 年推出,内存容量预计提升至 36-64GB,带宽为 1.5-2TB/s。图图 79:美光:美光 HBM 路线图路线图 资料来源:美光,招商证券 敬请阅读末页的重要说明 62 行业深度报告 七七、投资建议投资建议 1、全球先进封装设备及材料份额主要被海外占据、全球先进封装设备及材料份额主要被海外占据,AI 需求需求激增带来部分厂商激增带来部分厂商创纪录的先进封装创纪录的先进封装订单订单 根据我们前文所
198、述,半导体先进封装尤其是 HBM CoWoS 封装核心增量来自Bumping、TSV、RDL 等工艺,增量需求最大的设备主要为检检/量测、量测、减薄、电减薄、电镀、键合、模塑机台镀、键合、模塑机台,并且先进封装也为激光切割机、固晶机、回流炉、后道测试机/分选机/探针台等传统封装设备带来一定需求提升。用于高端先进封装的设备份额主要为海外厂商占据,例如先进封装检/量测领域的 Camtek、ONTO;减薄领域的 DISCO;电镀领域的 AMAT、ASMPT;键合领域的 SUSS、EVG Group;塑封领域的 TOWA、YAMADA 等;芯片贴装领域的 BESI 等。由于 AI 等领域需求旺盛,Ca
199、mtek、BESI、SUSS 等厂商面向先进封装如 HBM 和异构集成、AI 应用的订单均创下历史新高,并仍在持续增长;用用于高端先进封装的材料品类繁多,且更为分散,主要包括 IC 封装载板、ABF基板层介电材料、制造封装基板核心层材料、环氧树脂固态封装材料、导线架、焊线材、底部填充剂等,市场份额大多被美国、日本、德国厂商占据,核心供应商包括日本住友化学、日本昭和电工、美国杜邦等。Camtek:先进封装检先进封装检/量测设备龙头,量测设备龙头,HBM、异构集成等领域订单激增、异构集成等领域订单激增 Camtek 是是以色列以色列自动光学检测(自动光学检测(AOI)和量测设备厂商,涵盖从)和量测
200、设备厂商,涵盖从 Wafer、先进、先进封装、封装、PCB 的测试。的测试。公司产品为 Eagle 系列,在前端制程领域包括 Eagle-i 和Eagle-I PLUS 型号,可用于大规模 2D 检测,包括电镀 bump、电测针印、划片后的检测等,还可用于 CMOS、MEMS、LED 等器件的检测;在先进封装领域,公司设备包括 Eagle-AP 和 Golden Eagle 型号,主要面向 Bump、RDL、TSV等工艺,以及扇出型 PLP 封装面板等应用。公司设备 2D 检测精度 0.2um,3D检测高度精度 0.05um(量测范围 2-100um),检测速度为量测单片 5000 万点的Bu
201、mp。表表 13:Camtek 检测、量测设备产品矩阵检测、量测设备产品矩阵 型号型号 图例图例 功能功能 参数参数 Eagle-i 根据不同量测需求可以选配不同配置,包括Eagle-i 和 Eagle-I Plus 两种型号。针对大规模 2D 前道检测:电镀 bump 前后的检测、电测针印大小的检测、划片后的检测等;器件检测:CMOS、MEMS、LED 等;扇出型应用:2um 线宽的 RDL、翘曲晶圆处理、低至 0.2um 的表面缺陷 2D 检测精度 0.2um,3D 检测高度精度0.05um(量测范围 2-100um),检测速度为量测单片 5000 万点的 Bump 经销商售价:500-8
202、00 万人民币 Eagle-AP 专为先进封装领域设计,包括 Eagle AP 和 Eagle AP Plus 两种型号,主要用于 Bump 尺寸和间距、RDL 后的线宽线距、TSV 填孔后的尺寸 敬请阅读末页的重要说明 63 行业深度报告 Golden Eagle 为扇出型 PLP 封装面板应用设计,支持最大650*650mm 面板尺寸,用于扇出型 RDL 检查等-资料来源:Camtek 官网、仪器信息网,招商证券 Camtek 大部分收入来自先进封装和中国地区,大部分收入来自先进封装和中国地区,大客户覆盖三大存储原厂、台积大客户覆盖三大存储原厂、台积电、英特尔等电、英特尔等。Camtek
203、为先进封装尤其是 HBM 领域的量测设备龙头,收入从2017 年的 0.93 亿美元增长至 2022 年 3.21 亿美元,2022 年收入 60%以上来自先进封装互连封装应用,其中很大一部分来自 HBM 和 Chiplet,2022 年收入 44%来自中国地区。公司客户 70%以上业务面向 Tier1 厂商,包括台积电、联电、三星、SK 海力士、美光、英飞凌、日月光、安靠等。2023 年 11 月,Camtek 以 1亿美元完成对德国 FormFactor(FRT)公司的收购,FRT 是先进封装和 SiC 市场高精度计量设备领先厂商,具有独特的混合多传感器 SurFaceSens 技术。图图
204、80:Camtek 营收及增速营收及增速 图图81:2022 年年 Camtek 营收结构营收结构 资料来源:Camtek 财报,招商证券 资料来源:Camtek 财报,招商证券 图图 82:Camtek 主要客户主要客户 资料来源:Camtek,招商证券 0%10%20%30%40%50%60%70%80%00.511.522.533.52002020212022营业收入(亿美元)yoy44%20%17%14%5%中国亚太美国韩国欧洲 敬请阅读末页的重要说明 64 行业深度报告 Camtek 先进封装订单积压至先进封装订单积压至 2024 年,指引年,指引 2024 年
205、收入创新高。年收入创新高。Camtek 自2023 年 Q3 开始不断接收一级制造商客户的订单,大部分来自先进封装领域的异构集成、HBM、扇出型封装等。2023 年 7 月,公司公告称收到了多家一级制造商的 42 套系统订单,很大一部分用于异构集成的小芯片模块和 HBM,这些订单将于 23H2 交付;8 月底,公司公告自 2023 年 8 月以来已收到约 45 套系统的订单,30%用于 HBM 和 Chiplet 应用;11 月,公司公告收到一家一级制造商的28 套系统新订单,用于高带宽的检测、HBM、异构集成(HI)应用程序,进一步增加了将于 2024 年交付的订单积压量。展望 2024 年
206、,公司预计整体收入创历史新高,HBM、Chiplet 领域收入占比超 30%。BESI:芯片固晶机龙头,芯片固晶机龙头,混合键合设备构筑成长曲线混合键合设备构筑成长曲线 BESI 聚焦封装固晶聚焦封装固晶/贴装机,贴装机,具备晶圆级封装和混合键合能力具备晶圆级封装和混合键合能力。BESI 位于荷兰,是后道封装芯片贴装设备龙头,最大的下游为智能手机,2022 年收入 79%来自芯片贴装,21%来自封装和组装设备。公司目前具备晶圆级先进封装和混合键合技术能力,23Q1 推出混合键合机台 8800 CHAMEO ultra plus 机台。图图 83:BESI 产品矩阵产品矩阵 资料来源:BESI
207、官网,招商证券 BESI 是芯片贴装领域龙头,在先进封装贴片领域占比超是芯片贴装领域龙头,在先进封装贴片领域占比超 70%份额。份额。2022 年全球封装市场规模大约 55 亿美元,BESI 面向的市场空间大约 20 亿美元(不包括引线键合、切片等市场),市场份额大约 32%,其中 Die Attach(芯片贴装)市场空间大约 13 亿美元,BESI 份额大约 40%,先进封装芯片贴装市场空间大约 4亿美元,BESI 占据 74%的份额;在芯片封装和组装领域,公司面向的市场为 6.83亿美元,占据 18%的份额。敬请阅读末页的重要说明 65 行业深度报告 图图 84:BESI 面向的市场及份额
208、面向的市场及份额 资料来源:BESI 官网,招商证券 混合键合混合键合设备设备构筑公司成长曲线,相关订单持续快速增长构筑公司成长曲线,相关订单持续快速增长。23Q3 公司收入和净利润分别为 1.233 亿欧元和 3500 万欧元,分别同比下滑 24%和 33.5%,主要系整体市场下滑和高端智能手机需求疲软;不过,公司 23Q3 订单环比增长 13%,主要系AI及混合键合需求增加,23Q3公司从2家客户收到了新的混合键合订单,其中包括一家领先的客户,以及来自行业领先客户的光子应用的大量订单;23Q3至今,公司收到了来自逻辑和存储客户的更多混合键合订单,预计 23Q4 订单继续增加;另外,公司在
209、23Q3 至今收到了多个来自亚洲的芯片贴装订单,用于晶圆级芯片和基板的贴装。基于晶圆级封装产品的订单,公司预计 23Q4 混合键合和其他先进封装设备积压的订单持续发货,指引 23Q4 收入将环比增长 15-25%。SUSS:后道涂胶显影和临时键合后道涂胶显影和临时键合/解键合领先厂商,解键合领先厂商,AI 需求带来创记录的需求带来创记录的临时键合机台订单临时键合机台订单 SUSS 产品组合涵盖后道涂胶显影、键合和光产品组合涵盖后道涂胶显影、键合和光掩模掩模设备,键合和光掩模设备收入设备,键合和光掩模设备收入强劲强劲增长。增长。SUSS 总部位于德国,拥有 70 多年工程经验,产品矩阵涵盖掩模设
210、备,涂胶显影机、纳米压印光刻机、晶圆键合机等,2023 年前三季度,公司实现收入 2.023 亿欧元,同比增长 21.8%,其中光掩模解决方案和键合机分别同比增长 61.3%和 56.2%,截至三季度末总积压订单为 4.147 亿欧元。敬请阅读末页的重要说明 66 行业深度报告 图图 85:SUSS 产品应用产品应用 资料来源:SUSS 官网,招商证券 AI应用应用为为SUSS带来创纪录的临时键合机台订单带来创纪录的临时键合机台订单,中国台湾大客户增加对,中国台湾大客户增加对SUSS键合设备需求键合设备需求。在 AI 芯片制造过程中,HBM 必须磨得尽可能薄,对于研磨过程和进一步加工,必须将晶
211、圆临时键合到第二片晶圆上来加固,之后通过脱键再次释放键合,然后必须清除晶圆上的粘合剂残留物。SUSS 的 XBS300 和 XBC300机台可以为 HBM 临时键合全流程提供完善的解决方案,23Q3,SUSS 共产生1.03 亿欧元的订单,其中高端后道设备订单共 9370 万欧元,键合设备订单高达6210 万欧元;从 2023 年 6 月到 10 月底,SUSS 已经被预定了价值约 1 亿欧元的 AI 应用临时键合解决方案;中国台湾某个世界领先的先进封装代工厂也采用了 SUSS 的 XBS300 设备,用于逻辑和存储芯片的耦合,SUSS 表示,中国台湾客户产线未来每年将采用多达 12 台键合机
212、。表表 14:SUSS 12 英寸晶圆键合机产品矩阵英寸晶圆键合机产品矩阵 型号型号 图例图例 功能功能 XBS300 晶圆键合机 支持临时键合的所有关键步骤:分离层的形成、粘合剂的涂覆、低力度的晶圆粘接、紫外线固化或热固化和冷却,能够处理所有商业可用的临时键合胶,支持杜邦 HD3007 工艺 XBC300 Gen2 晶圆解键合机 为 2.5D 和 3D 应用提供全面解决方案,支持厚度 50um 及以下的晶圆,支持机械 peel-off 解键合,包括所有常见的机械解键合粘合剂和剥离层;支持激光辅助解键合,基于 UV 激光技术和透光性载体材料,如玻璃和蓝宝石;支持温和清洁减薄晶圆 资料来源:SU
213、SS 官网,招商证券 敬请阅读末页的重要说明 67 行业深度报告 TOWA:半导体塑封机龙头:半导体塑封机龙头,高端压塑型号用于高端压塑型号用于 HBM 和和 2.5D 等封装形式等封装形式 TOWA 布局半导体后道贴片、植球、塑封成型机等多种设备,是全自动塑封机布局半导体后道贴片、植球、塑封成型机等多种设备,是全自动塑封机等设备龙头等设备龙头。TOWA 总部位于日本,产品主要面向半导体后道封装,包括贴片机、植球机、焊线机、倒装贴片机、塑封成型机等。公司是半导体先进封装塑封成型机龙头,包括压缩成型和注塑成型两大类设备。根据 SEMI,针对中国大陆市场的全自动塑封设备,TOWA 每年销量约 20
214、0 台、YAMADA 约 50 台、BESI 约 50台。TOWA CPM 1080 型号支持晶圆级(型号支持晶圆级(WLP)和面板级()和面板级(PLP)等先进封装形式)等先进封装形式,FY23H1 订单超过订单超过 FY22 全年全年。CPM 1080 是公司面向晶圆级先进封装(WLP)等领域的高端全自动塑封机,可用于 HBM 的压缩成型。公司表示,生成式 AI带来 HBM 和 2.5D 封装的压缩塑封成型需求,公司 2023 财年上半年的订单已经超过 2022 财年全年。图图 86:TOWA CPM1080 全自动塑封机全自动塑封机 资料来源:TOWA 官网,招商证券 ASMPT:后道先
215、进封装平台型设备厂商,新增订单来自先进封装和汽车终:后道先进封装平台型设备厂商,新增订单来自先进封装和汽车终端端 ASMPT 设备覆盖几乎先进封装全流程,新增订单来自先进封装和汽车终端设备覆盖几乎先进封装全流程,新增订单来自先进封装和汽车终端。ASMPT 总部位于新加坡,为电子制造全流程提供解决方案,公司半导体解决方案包括 PVD 沉积、电镀、激光划片、热压键合、D2W 混合键合,以及测试分选机等设备,先进封装领域重点市场包括 WLP、2.5D/3D IC、TSV、扇出、嵌入式芯片等。公司 23Q3 半导体部门收入受景气度影响同比下滑 28.7%至 15.7 亿港元,亏损 1.1 亿港元,新增
216、订单同比下滑 10.9%至 13.3 亿港元,但环比增长4.4%,公司表示新增订单主要来自先进封装和汽车终端市场应用,AI 服务器需求也有所增长。表表 15:ASMPT 先进封装产品矩阵先进封装产品矩阵 型号型号 图例图例 功能功能 晶圆 PVD 设备 Apollo 300 应用:可配置最多 5 种金属,支持 UBM/RDL、扇出、RF 滤波器、功率器件 特征:True Bridge 工具功能:尺寸更改;脱气/退火;ICP/CCP 蚀刻 敬请阅读末页的重要说明 68 行业深度报告 晶圆电镀机 Stratus P300 应用:可配置最多 6 种金属,支持 UBM/RDL、扇出、RF 滤波器、功率
217、器件 特征:双晶圆尺寸能力;膜池可实现长期的镀液稳定性;ShearPlate 技术可实现最薄的边界层;基材处理灵活性 面板电镀机 Stratus P500 应用:可配置最多 5 种金属,支持多芯片扇出、SoC 封装、微型 LED 特征:晶圆级精密硬件和软件;镀铜、锡(银)、镍和金10m L/S;单/双面电镀;膜电池提供高化学利用率;多区阳极可优化厚种子和薄种子的均匀性;用于均匀高通量电镀的图案屏蔽 激光切割机 Laser1205 能够切割晶圆材料及 DAF 或 FOW,厚度范围 10-250um;多种切槽宽度,宽度范围为 10-100um 热压键合机 FIREBIRD TCB Series 可
218、实现 2D、2.5D、3D 的异构集成,可配置用于带状、单片、晶圆基板的模块,使用 SlimFEM 直接处理晶圆和玻璃基板 混合键合机 LITHOBOLT 与前端工艺兼容的工具设计、Chiplet 集成设计、D2W 混合键合的灵活工艺能力 转塔式分选机 SUNBIRD 最大吞吐量为 40000UPH,全 6 面视觉检查,可选晶圆重构单元 资料来源:ASMPT 官网,招商证券 住友住友电木:电木:目前环氧塑封料(EMC)市场份额主要由日本住友电木和日本昭和等占据,日本住友电木主要面向半导体用环氧化合物、电子和电器安装用酚醛树脂及电子器件电路连接用的各向异性导电薄膜和其他化学品。敬请阅读末页的重要
219、说明 69 行业深度报告 图图 87:日本住友日本住友电木电木模塑料和零件产品模塑料和零件产品 资料来源:住友化学官网,招商证券 美国杜邦:美国杜邦:半导体领域材料广泛覆盖制造、封装及组装领域,在半导体封装领域,公司产品涵盖电镀光刻胶、铜柱电镀中的锡银帽、RDL 层、UBM 材料等,组装材料涵盖芯片粘结剂、封装剂、永久键合电介质、热界面材料等。在 PI(聚酰亚胺)方面,公司最早开发和生产,市占率全球第一,重视对组分的研究改性,并关注高模量、柔韧性等机械性能。德国汉高:德国汉高:汉高(Henkel)成立于 1876 年,其产品在胶粘剂市场占有率全球第一,公司工程胶黏剂、密封剂和表面处理方面的系列
220、产品涵盖了锡膏、厌氧胶、环氧胶、硅胶、瞬干胶、UV 胶、PU 胶、MS 聚合物、清洗剂等八大系列。2、HBM 等先进封装等先进封装对工艺、设备、材料需求均提升,国内对工艺、设备、材料需求均提升,国内厂商在厂商在相关相关领域不断导入领域不断导入 AI 对对 GPU 高带宽需求高带宽需求催生催生 HBM 百百亿美金市场亿美金市场,以以 HBM 为代表的先进封装封为代表的先进封装封测技术测技术、设备和材料等需求有望持续提升、设备和材料等需求有望持续提升。多用于 PC 显卡的 GDDR 无法满足AI 服务器的高带宽要求,因此 HBM 等新型存储器应运而生,HBM 能够以低功耗实现高带宽,非常适合 AI
221、 服务器的应用场景。伴随着训练型 AI 服务器出货量增长、AI 服务器渗透率的提升、单 GPU 搭载 HBM 叠层的数量提升、以及 HBM堆叠 DRAM Die 数量和 DRAM 容量的提升,预计到 2024 年 HBM 市场空间有望超百亿美元。以 HBM 为代表的先进封装市场对封测技术、先进封装设备及材料需求持续增长,国内先进封装封测、设备、材料等产业链有望持续受益。设备:设备:中科飞测:中科飞测:金属膜厚设备批量出货,纳米图形缺陷检测和关键尺寸量测设备开发金属膜厚设备批量出货,纳米图形缺陷检测和关键尺寸量测设备开发顺利。顺利。公司目前收入主要来自无图形和图形晶圆缺陷检测设备、三维形貌量测设
222、备,套刻精度量测设备和金属膜厚量测设备成为收入新增长点,套刻精度量测设 敬请阅读末页的重要说明 70 行业深度报告 备在成熟工艺节点实现批量供应,在先进工艺产线已经通过部分客户验证,多台金属膜厚量测设备已实现批量出货。针对 2Xnm 节点,公司明场和暗场纳米图形晶圆缺陷检测设备、关键尺寸量测设备研发进展顺利;针对 1Xnm 节点,持续性研发布局多款检测和量测设备。北方华创:北方华创:在先进封装领域,针对 Flip chip Bumping、Fan-Out、WLCSP、2.5D/3D TSV 等技术,公司刻蚀设备、沉积设备、炉管设备等已经实现了在主流先进封装企业的批量生产,并不断获得客户的重复采
223、购订单。等离子去胶机 BMD P300可兼容 Fan-out 大翘曲晶圆,并具备多种 Descum 工艺处理能力,如 PI curing后,PR 显影后电镀前,PR strip 后,Ti/Cu 刻蚀后,Molding 前,Underfill 前等;Polaris B 系列 PVD,可兼容大翘曲 Fan-out 圆片,并支持 Si,EMC,Glass,Bonding等多种基片的精准高效传输;12英寸PI胶固化系统(PIQ)SUMERIS AP302C 可在更低氧的环境下实现对 Polyimide(聚酰亚胺)的固化、精准控温。中微公司:中微公司:公司等离子体刻蚀设备可用于先进封装生产线,其深硅刻蚀
224、设备Primo TSV 200E、Primo TSV 300E 可用于 IC 3D 封装、CMPS、MEMS 等,在晶圆级封装、2.5D 封装和 MEMS 系统等领域持续获得重复订单,在 12 英寸3D 芯片的 TSV 刻蚀工艺上成功验证。拓荆拓荆科技:子公司拓荆键科(海宁)产品包括晶圆对晶圆键合(科技:子公司拓荆键科(海宁)产品包括晶圆对晶圆键合(Wafer to Wafer Bonding,W2W)产品和芯片对晶圆键合表面预处理()产品和芯片对晶圆键合表面预处理(Die to Wafer Bonding Preparation and Activation,D2W)产品。)产品。拓荆科技键
225、合设备主要由控股子公司拓荆键科(海宁)开展,拓荆键科成立于 2020 年 9 月 30 日,母公司持股比例为 55%。拓荆键科联合拥有先进晶圆片键合机的技术储备的海宁君鑫科技,利用自身掌握的晶圆键合对准技术,进而开拓晶圆键合设备市场。1)晶圆对晶圆常温混合键合(Hybrid Bonding)和熔融键合(Fusion Bonding):可以实现复杂的 12 英寸晶圆对晶圆常温共价键合,搭载了晶圆表面活化、清洗、键合和自研的键合精度检测模块,具有对准精度高、产能高、无间隙等性能特点。首台晶圆对晶圆键合产品(Dione 300)已通过客户验收并获得重复订单;2)晶圆及切割后芯片的表面活化及清洗:可以
226、实现芯片对晶圆键合前表面预处理工序,包括晶圆及切割后芯片的表面活化及清洗工艺。首台 W2W 产品Dione300 已经出货至客户端验证,并取得突破性进展,D2W 产品 Pollux 完成研发,正在客户端验证。华海清科:基于华海清科:基于 CMP 技术自研技术自研 12 英寸减薄设备,量产机台已发往龙头客户端英寸减薄设备,量产机台已发往龙头客户端并获得先进存储、并获得先进存储、Chiplet 封装等多个订单。封装等多个订单。2023 年 5 月 17 日,公司全新一代12 英寸超精密晶圆减薄机 Versatile-GP300 量产机台出机发往集成电路龙头企业,标志着公司自研的国产减薄设备批量进入
227、大生产线。该款设备用于前道晶圆制造背面减薄工艺,是业内首次实现 12 英寸晶圆超精密磨削和 CMP 全局平坦化的有机整合集成设备,自主研发的超精密晶圆磨削系统稳定实现 12 英寸晶圆片内磨削 TTV1um,达到了国内领先和国际先进水平。华海清科创新开发的CMP 多区压力智能控制系统,突破传统减薄机的精度限制,实现了减薄工艺全过程的稳定可控。Versatile-GP300 机台现已收获包括先进存储、Chiplet 封装等技术领域在内的多个订单,近期将陆续出机;另外,公司用于封装领域的 12 英寸超精密减薄机各项性能指标达到预期目标,已经发往客户端进行验证。盛美上海:盛美上海:先进封装领域布局湿法
228、类、电镀、涂胶显影等设备,电镀设备有望保先进封装领域布局湿法类、电镀、涂胶显影等设备,电镀设备有望保持高增长。持高增长。公司产品线覆盖湿法清洗、电镀、炉管、无应力抛铜、后道先进封装及其他类设备,公司先进封装产品线完整,覆盖电镀、涂胶显影、湿法刻蚀、湿 敬请阅读末页的重要说明 71 行业深度报告 法去胶、金属剥离、无应力抛光先进封装平坦化、清洗设备等,23H1 先进封装及其他后道设备收入同比增长 47%。公司电镀设备可用于前道和后道,针对先进封装的包括大马士革电镀、TSV 电镀、先进封装电镀、第三代半导体电镀设备均开发出来,在工艺上得到验证,公司电镀设备获得较多重复订单,预计明年仍将保持高速成长
229、。其中,公司用于3D TSV和2.5D转接板的三维电镀设备Ultra ECP 3D 可为高深宽比(深宽比大于 10:1)铜应用提供高性能、无孔洞的镀铜功能。芯源微:针对芯源微:针对 Chiplet 等先进封装领域开发临时键合机等先进封装领域开发临时键合机/解键合机,目前正在客解键合机,目前正在客户端验证。户端验证。公司产品线包括涂胶显影机、物理清洗机等,覆盖前道 IC、后道先进封装及小尺寸等领域。公司涂胶显影机在国内后道先进封装领域市占率第一,加深和盛合晶微、长电绍兴、上海易卜等国内新兴封装势力的合作关系;针对Chiplet 等新兴市场,基于在三维封装工艺的技术储备和前期应用,公司开发了临时键
230、合/解键合机台,目前产品均进入客户验证阶段。精测电子:精测电子:覆盖半导体膜厚量测、关键尺寸量测、电子束缺陷检测等设备,持续覆盖半导体膜厚量测、关键尺寸量测、电子束缺陷检测等设备,持续获得先进制程订单。获得先进制程订单。公司产品面向显示、半导体及新能源检测系统,在半导体领域,公司产品包括检测和量测设备,包括膜厚量测、光学关键尺寸量测、电子束缺陷检测和面向 Memory 老化、晶圆探测、终测的自动检测设备(ATE)等,其核心产品已覆盖 2xnm 及以上制程,膜厚产品、OCD 设备及电子束缺陷复查设备已取得先进制程订单,23Q3 公司先进制程产品订单已实现部分交货且取得重复订单。芯芯碁微装:微装:
231、直写光刻机从直写光刻机从PCB领域向泛半导体领域延伸,在晶圆级封装的领域向泛半导体领域延伸,在晶圆级封装的RDL、Bumping、TSV 等工艺中优势明显。等工艺中优势明显。公司主营直写光刻机,用于 PCB 和泛半导体领域,公司加快在载板、先进封装、新型显示、掩膜版制版、功率分立器件等方面的布局。在先进封装领域,公司直写光刻优势主要体现在智能纠偏上,直写光刻在晶圆重构封装中解决偏移问题能力较强。公司设备能够实现再布线,WLP2000 系列产品采用多光学引擎并行扫描技术,具备自动套刻、背部对准、智能纠偏、WEE/WEP 功能,在 RDL、Bumping 和 TSV 等工艺中优势明显。文一科技:文
232、一科技:半导体封测领域产品包括集成电路封装模具、自动切筋成型系统、分选机、塑封压机、自动封装系统、芯片封装机器人集成系统、半导体精密备件等。针对先进封装领域,公司正在研发满足晶圆级封装用的模具和设备。至正股份:至正股份:23H1 子公司苏州桔云纳入公司财务报表,新增半导体专用设备业务,其主要面向半导体后道先进封装,主要产品包括清洗设备、烘箱设备、腐蚀设备、涂胶显影设备、去胶设备、分片设备等。新益昌:新益昌:公司固晶机布局较为完善,焊线设备部分产品通过客户验证,形成小批量出货,先进封装领域的部分高精密设备正在验证,预计短期内设备将通过客户验证。光力科技:光力科技:公司半导体封装设备可用于 IC、
233、分立器件、光电器件、传感器等多种半导体产品的封装工艺,客户主要为 OSAT 和 IDM 厂商,其 12 英寸全自动划切设备 ADT8230 实现高端划切设备的国产替代。公司同时开发激光切割划片机、半导体研磨机等新品,计划明年推出。德龙激光:德龙激光:半导体晶圆激光隐形切割设备可用于硅/砷化镓/碳化硅的晶圆切割加工;晶圆激光开槽设备主要用于半导体 40nm 及以下线宽的 low-k 晶圆的表面开槽;晶圆级封装产品综合加工设备兼容晶圆级封装产品的精密加工切割、钻孔、刻蚀、表面处理、开槽。敬请阅读末页的重要说明 72 行业深度报告 赛腾股份:赛腾股份:收购日本收购日本 OPTIMA 获得晶圆缺陷检测
234、技术。获得晶圆缺陷检测技术。产品用于半导体、光伏、锂电、消费电子、8/12 英寸晶圆等,非标准化设备主要用于消费电子和新能源行业,标准化设备主要用于半导体、光伏及锂电领域,包括固晶设备、分选设备、晶圆包装机、晶圆缺陷检测机、倒角粗糙度量测、晶圆字符检测机、晶圆激光打标机、晶圆激光开槽机、锂电切叠一体机、卷绕机、锂电电芯组装设备以及光伏组件自动化单机及整线等。公司于 2019 年收购日本 OPTIMA,截至 23H1 持股比例为 74%,其晶圆缺陷检测设备和技术全球领先。耐科装备:耐科装备:公司主营半导体封装设备和模具,塑料挤出成型模具、挤出成型装置及下游设备。公司晶圆级封装设备处于研发过程中,
235、关键装置压机单元在试验阶段。亚威股份:亚威股份:公司于2021年2月投资苏州芯测电子有限公司,持有其25%的股权,苏州芯测布局高端半导体存储芯片测试设备业务。目前,苏州芯测已完成对韩国GSI 100%的股权收购,GSI 成立于 2014 年,拥有技术难度较高的存储测试机业务,并稳定供货 SK 海力士、安靠等厂商。劲拓股份:劲拓股份:半导体封装设备主要包含半导体芯片封装炉、Wafer Bumping 焊接设备、真空甲酸焊接设备、甩胶机、氮气烤箱、无尘压力烤箱等,广泛应用于各类芯片元器件的封装过程。公司半导体封装炉设备可适用于 CoWoS 其中一种回流焊接工艺。迈为股份:迈为股份:立足真空、激光、
236、精密设备三大技术平台,在半导体封测领域布局了刀轮切割、激光改质切割、激光开槽设备等。奥特维:奥特维:半导体业务主要集中在封测环节,目前布局了划片机、装片机、键合机、AOI 等设备,CMP 设备处于研发的初始阶段。长川科技:长川科技:数字数字 SoC 测试机和三温分选机快速放量,探针台逐步起量。测试机和三温分选机快速放量,探针台逐步起量。公司产品包括测试机、分选机、探针台、AOI 设备等,基本覆盖后道测试设备全品类,其测试机具备模拟、功率和数字信号测试机量产能力,正从 SoC 测试领域向存储等领域延伸;公司分选机包括重力下滑式和平移式分选机等,通过收购马来西亚 EXIS 获得转塔式分选机产品线,
237、当前三温分选机正在快速放量;探针台产品以全自动晶圆探针台为主,12 寸晶圆探针台实现量产出货,正在逐步起量。华峰测控:华峰测控:公司产品主要为半导体自动化测试系统,用于模拟、数模混合、分立器件和功率模块等,不断拓展氮化镓、碳化硅及 IGBT 等功率分立器件和模块类测试领域,并推出了面向 SoC 测试领域的新机型 STS8600。金海通:半导体分选机产品金海通:半导体分选机产品覆盖安靠、覆盖安靠、长电科技、通富微电等长电科技、通富微电等封测客户,三温分封测客户,三温分选机贡献成长动力选机贡献成长动力。公司聚焦半导体后道测试领域分选机,客户覆盖安靠、联合科技、长电科技、通富微电等封测厂商,博通、瑞
238、萨等 IDM 企业,以及兴唐通信、澜起科技等 IC 设计厂商。公司基于自主软件架构和算法开发了集成式三温分选机 EXCEED 9000 产品,预计将成为长期增长动力。材料:材料:鼎龙股份:鼎龙股份:半导体用精抛光垫可用于 Grinding(晶背研磨)等制程,部分产品在客户端批量使用,预计明年实现产销量快速增长;多晶硅抛光液、金属铝/钨栅极抛光液导入客户、取得批量订单,有望在第四季度开始逐步放量,铜和阻挡层抛光液有望在第四季度取得批量订单;拥有 YPI、PSPI 产品,用于半导体显示 敬请阅读末页的重要说明 73 行业深度报告 领域;封装光刻胶已有两款产品在客户端测试,另有一款新立项的封装光刻胶
239、产品正在客户端送样。安集科技:安集科技:CMP 铜及铜阻挡层抛光液持续放量,钨抛光液、基于氧化铈磨料的抛光液份额持续提升,介电材料抛光液、衬底抛光液客户进一步拓展;在电镀液及添加剂方面,公司完成了应用于集成电路制造及先进封装领域的产品系列平台的搭建,多款电镀液及添加剂产品在先进封装领域进入量产导入阶段。雅克科技:雅克科技:公司主营电子材料、LNG 保温绝缘板材、阻燃剂业务,公司积极推进国内工厂的电子材料产品在国内客户端的评估,实现部分产品对国内客户的批量供应;公司同时在前驱体材料方面积极推进技术研发,与美光、海力士、台积电、长江存储、合肥长鑫等合作,推进更先进制程产品的研发和验证。考虑到三星、
240、海力士等 HBM 接单量快速增长,也有望带动前驱体材料需求持续增长。强力新材:强力新材:公司主营光刻胶专用电子化学品和绿色光固化材料,其 PAG 系列光刻胶光引发剂用于 i 线、KrF 线半导体光刻胶和封装材料领域。公司研发生产的PSPI 用于封装领域,目前处于下游客户验证阶段。天承科技:天承科技:在先进封装领域,公司上海工厂二期项目已经启动,拟投入 5000 万元用于半导体相关的电镀液等功能性湿电子化学品的生产设备和车间改造,计划明年 1 月份投产,主要用于先进封装和 TSV 部分,对晶圆的大马士革电镀后续有产品计划,预计 2024 年收入上量。华海诚科:国内环氧树脂塑封料龙头,电子胶黏剂核
241、心供应商。华海诚科:国内环氧树脂塑封料龙头,电子胶黏剂核心供应商。公司专注于半导体封装材料,主要产品为环氧塑封料和电子胶黏剂,公司已于华天科技、通富微电、长电科技、富满微、扬杰科技、气派科技、银河微电等下游知名厂商建立了长期良好的合作关系,相关产品已在上述部分厂商实现对外资厂商产品的替代。公司 EMG-900-ACF(GMC 颗粒状塑封料)在重庆矽磐微、合肥矽迈、中科芯、通富微电等客户验证,预计在客户 A 年底会有批量;68 系列(LMC 液态塑封料)在通富微电验证,模塑性验证合格,在其他客户处进行可靠性考核。联瑞新材:产品大量用于先进封装联瑞新材:产品大量用于先进封装 EMC、LMC、底部填
242、充等材料,配套供应、底部填充等材料,配套供应 HBM全球知名全球知名 GMC 供应商所用供应商所用球硅和球硅和 Low球铝球铝。公司主营工业粉体材料,公司采用火焰熔融法、高温氧化法、液相法三种主流工艺,生产微米级及亚微米级球形硅微粉,产品广泛应用于芯片封装和基板用环氧塑封材料(EMC)、液态塑封材料(LMC)和底部填充材料(Underfill)、印刷电路基板用覆铜板(CCL)、积层胶膜、热界面材料(TIM)等领域。产品中 Low微米级球形硅微粉、Low亚微米级球形硅微粉主要应用于存储芯片封装等先进封装领域,Low Df(低介质损耗)球形硅微粉广泛应用于各等级高频高速基板。Low球形氧化硅主要用
243、于高导热存储芯片封装等高端芯片封装领域。公司部分客户是全球知名的 GMC 供应商,公司配套供应 HBM 所用球硅和 Low球铝。壹石通:壹石通:规划建设规划建设 200 吨高端芯片封装用吨高端芯片封装用 Low-射线球形氧化铝项目,目前进射线球形氧化铝项目,目前进入产线调试阶段。入产线调试阶段。公司高纯二氧化硅、Low-的射线球形氧化铝产品主要用于芯片封装领域,公司高纯二氧化硅粉体材料已为日本雅都玛等日韩企业长期稳定供货,其 Low-射线球形氧化铝产品打破海外垄断。根据公司 2022 年定增项目所做的市场调研,Low-射线球形氧化铝的存量市场需求大约为 1000 吨/年,公司定增项目年产 20
244、0 吨高端芯片封装用 Low-射线球形氧化铝项目已经进入产线调试阶段,目前主要客户集中在日韩。飞凯材料:飞凯材料:公司控股子公司昆山兴凯半导体材料公司主要负责环氧塑封料的产销 敬请阅读末页的重要说明 74 行业深度报告 研,公司通过全资子公司安庆飞凯新材料持股 60%,长兴化学工业公司通过长兴投资有限公司持股 40%。环氧塑封料的原材料市场竞争关键在于树脂的供应,而长兴化学作为另一股东,能为公司树脂需求提供助力。德邦科技:德邦科技:主营电子封装材料、导热材料、导电材料、晶圆划片膜、减薄膜等400 余种产品,在 IC 领域,23H1 公司 UV 膜、固晶胶、热界面材料收入分别占比三成、三成、四成
245、,公司底部填充胶、AD 胶、固晶胶膜(DAF/CDAF)、芯片级导热界面材料(TIM1)四款芯片级封装材料同时在配合多家设计公司、封测公司推进验证。兴森科技:兴森科技:珠海 FC-BGA 封装基板项目拟建设产能 200 万颗/月的产线,于 2022年 12 月建成并试产,目前处于客户验证阶段,部分大客户的技术评级、体系认证均通过;广州 FC-BGA 封装基板项目拟分期建设 2000 万颗/月的产线,一期厂房已于 2022 年 9 月完成封顶,目前处于设备安装阶段,预计 23Q4 完成产线建设。生益科技:生益科技:针对服务器需求产品有全系列布局,包括 Mid-loss,Low-loss、Very
246、-low loss、Ultra-low loss、Extreme Low-loss 及更高级别材料,AI 服务器覆铜板表现较好,正开发高效散热封装基板用覆铜板关键技术。深南电路:深南电路:广州封装基板项目主要面向 FC-BGA、FC-CSP、RF 封装基板三类,项目分两期建设,一期已于 2023 年 10 月下旬连线,后续进步产能爬坡阶段。FC-BGA 封装基板中阶产品目前已在客户端顺利完成认证,部分中高阶产品进入送样阶段,初步建成高阶产品样品试产能力。神工股份:神工股份:公司大直径单晶硅材料直接向电极制造商销售,经电极制造商机械加工制程 IC 刻蚀用硅电极,直接用于芯片制造刻蚀环节,公司客户
247、覆盖日本、韩国等半导体知名硅零部件厂商。公司“集成电路刻蚀设备用硅材料扩产”定增项目积极扩大大直径硅料产能,达产后现有刻蚀用硅材料产能将从 500 吨/年增长至 900 吨/年。上海新阳:上海新阳:公司产品主要包括晶圆制造及先进封装用电镀液和添加剂系列,包括大马士革铜互联、TSV、Bumping 电镀液及配套添加剂;晶圆制造用清洗液、刻蚀液系列,主要包括铜制程刻蚀后清洗液、铝制程刻蚀后清洗液、氮化硅/钛蚀刻液、CMP 后清洗液等;IC 制造用高端光刻胶系列,包括 I 线、K 线、ArF干法和浸没式、底部抗反射膜(BARC)等材料;CMP 抛光液,用于 STI、金属钨、金属铜、硅氧化层、多晶硅层
248、等;半导体封装用镀锡化学材料及配套电镀前处理、后处理化学材料等。华正新材:华正新材:主要从事覆铜板及粘结片、半导体封装材料、复合材料和膜材料等产品,其覆铜板用于制作 PCB,半导体封装材料包括 BT 封装材料和 CBF 积层绝缘膜,适用于 Chiplet、FC-BGA 等先进封装工艺,主要用于 Memory、MEMS、RF、ECP 嵌埋技术即 CPU、GPU、FPGA、ASIC 等算力芯片的半导体封装。方邦股份:方邦股份:公司产品包括电磁屏蔽膜、各类铜箔、挠性覆铜板、电阻薄膜、复合铜箔等,其中带载体可剥离超薄铜箔是制备芯片封装基板、HDI 板的必需基材,极薄挠性覆铜板是实现高密度互连技术的关键
249、材料之一。回天新材:回天新材:公司在芯片封装用胶板块相关产品包括芯片四角邦定胶(edgebond)、芯片底部填充胶(underfill)、SIP 屏蔽银浆等,其与 H 公司在 5G 通信、消费电子、数字能源、汽车电子等板块均有合作,供应的产品包括环氧底填、PUR 胶、三防漆、UV 胶等。敬请阅读末页的重要说明 75 行业深度报告 国风新材:国风新材:公司 PI 薄膜经过深加工后可用于消费电子等设备的柔性电路板、散热材料等领域,半导体封装用 PSPI 光刻胶研发处于实验室送样检测阶段。封测:封测:长电科技:长电科技:公司拥有晶圆级封装、倒装芯片互连、硅通孔(TSV)等多种技术,在2.5D 封装领
250、域拥有成熟 MEOL TSV 集成经验。2022 年,公司认证通过 TSV 异质键合 3D SoC 的 fcBGA 技术;2023 年 1 月,公司 XDFOI Chiplet 高密度多维异构集成工艺实现量产,实现国际客户 4nm 节点封装产品出货,既有 TSV less,也有 TSV 方案,适用于 HBM 等芯片。通富微电:通富微电:公司多层堆叠 NAND Flash 及 LPDDR 封装实现稳定量产,同时在国内首家完成基于 TSV 技术的 3DS DRAM 封装开发。公司南通通富工厂三期工程稳步推进,并预计该先进封装生产线建成后,公司将成为国内最先进的 2.5D/3D先进封装研发及量产基地
251、,实现国内在 HBM(高带宽内存)高性能封装技术领域的突破。AMD 在 CES 2023 展会上推出了下一代面向数据中心的 APU 产品 Instinct MI300,采用 Chiplet 设计,由 13 个小芯片组成,晶体管数量高达 1460 亿个,超越英特尔的 1000 亿晶体管的 Ponte Vecchio。国内通富微电于 2016 年收购AMD 苏州和槟城两家工厂,多年来一直和 AMD 形成合作伙伴关系,承担了 AMD主要的封测业务,公司此前于投资者互动平台表示,通富有涉及 AMD Instinct MI300 的封测项目。考虑到 AMD 未来将更多导入 Chiplet 的架构设计,通
252、富微电或将持续受益。华天科技:华天科技:公司具备 3D、SiP、MEMS、FC、TSV、Bumping、Fan-out、WLP 等先进封装技术。2023 年 3 月,公司宣布投资 28.58 亿元进行“高密度高可靠性先进封测研发及产业化”项目,达产后预计形成 Bumping 84 万片、WLCSP 48 万片、超高密度删除 UHDFO 2.6 万片的晶圆级封测能力,建设期为 5 年。深科技:深科技:公司于 2015 年收购金士顿旗下沛顿科技 100%股权,沛顿科技主要从事高端存储芯片的封测,在 DRAM 封测实力较强,产品包括 DDR3、DDR4、DDR5、LPDDR3、LPDDR4、LPDD
253、R5、eMCP4 等。技术方面,公司具备先进封装 FlipChip/TSV 技术(DDR4 封装)能力,高端 3D TSV 等技术持续开发;产能方面,深科技孙公司合肥沛顿存储科技于 2020 年 10 月成立于合肥市经济技术开发区空港示范区,是沛顿科技在华东地区的运营基地,为国内主要客户提供封装测试、模组组装等全套服务。太极实业:太极实业:公司半导体业务主要涉及 IC 芯片封装、封装测试、模组装配及测试等,子公司海太公司半导体业务目前主要是为 SK 海力士的 DRAM 产品提供后工序服务,拥有完整的封装测试生产线与 SK 海力士 12 英寸晶圆生产线紧密配套;子公司太极半导体在传统封装工艺(F
254、C)基础上,开发了高阶混合封装(Hybirid,FC+WB)工艺。甬矽电子:甬矽电子:公司全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先进封装形式,并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细间距扁平无引脚封装产品(QFN/DFN)等先进封装领域具有技术储备;公司通过开展 Bumping 项目掌握了 RDL 及凸点加工能力,后续逐步拓展晶圆级封装、扇出式封装及 2.5D/3D 封装;公司控股子公司甬矽半导体(宁波)拟投资建设高密度及混合 IC 封测项目,项目总金额预计不超 敬请阅读末页的重要说明 76
255、行业深度报告 过 21.57 亿元,预计可新增年产 87000 万颗高密度及混合集成电路封装测试,具体投向 FC-LGA、FC-CSP、FC-BGA 及 Hybrid-BGA 类产品。3、AI 服务器对存储容量有数倍拉动,行业边际复苏和自主服务器对存储容量有数倍拉动,行业边际复苏和自主可控趋势带动可控趋势带动国内需求增长国内需求增长 AI 服务器存储伴随服务器存储伴随 CPU 和和 GPU 升级而迭代,对存储容量和价值量均有数倍拉升级而迭代,对存储容量和价值量均有数倍拉动。动。传统服务器中,CPU 作为算力来源,最终数据储存在硬盘中,CPU 内存作为 CPU 和硬盘之间的桥梁,能够暂时存放 C
256、PU 的运算数据并与硬盘等外部存储器进行数据交换;传统服务器的 CPU 主要通过提升核心数进行算力提升,但 AI训练模型算力增长速度已经超过 CPU 可以满足的算力需求,因此高性能推理服务器或者 AI 服务器中增加了能够多线程吞吐数据的 GPU,GPU 由 CPU 来发出指令调度。伴随着 AI 算力要求的提升,CPU 和 GPU 均不断升级迭代,CPU 显存、服务器 SSD 的容量和价值量均有数倍增长,另外 AI 服务器对 GPU 的需求也催生了 GPU 显存的纯增量市场。存储价格持续反弹,美光和存储价格持续反弹,美光和 SK 海力士增加海力士增加 2024 年存储资本支出。年存储资本支出。本
257、轮存储行业下行周期自 22Q1 开始,存储量价齐跌带来原厂收入和盈利能力快速下滑,在22Q4-23Q1,几大存储原厂均出现巨额亏损;伴随着 6-7 个季度持续的减产,当前供给侧产能明显收缩,下游终端和原厂库存持续改善,海外原厂收入和利润表现自 23Q2 以来均有所改善。站在当前时点,美光和 SK 海力士均对存储行业复苏展望乐观,并适当增加 2024 年的资本支出;从价格端来看,当前整体价格处于底部,高端 HBM、DDR5 等价格持续上涨,部分中低端型号价格也有所上升,展望 23Q4-2024 年,价格有望持续反弹。图图 88:DXI 指数指数 资料来源:Wind,招商证券 表表 16:存储原厂
258、资本支出规划:存储原厂资本支出规划 存储原厂存储原厂 2023 年资本支出年资本支出 2024 年资本支出规划年资本支出规划 三星电子 宣布减产存储芯片,Capex 同比持平 增加 2.5 倍及以上 HBM 产能,可能扩大减产 NAND SK 海力士 2023 年资本支出同比减少 50%以上 增加资本开支,大幅扩产 HBM、TSV 产能,NAND 产能规划谨慎 美光 2023 年资本开支同比减少 40%2024 年供给增速低于需求增速,将增大资本开支,但晶圆开工率预计仍显著低于 2022 年水平 资料来源:公司法说会,招商证券 0500000002500030000350
259、004000045000 敬请阅读末页的重要说明 77 行业深度报告 美光在华销售产品审查未通过,国产自主可控进程加速。美光在华销售产品审查未通过,国产自主可控进程加速。2023 年 5 月 21 日,据网信办发布,美光公司产品存在较严重网络安全问题隐患,对我国关键信息基础设施供应链造成重大安全风险,影响我国国家安全。为此,网络安全审查办公室依法作出不予通过网络安全审查的结论。按照网络安全法等法律法规,我国内关键信息基础设施的运营者应停止采购美光公司产品。美光回应表示,中国国家互联网信息办公室(CAC)审查决定对美光的业务影响目前仍不确定,包括移动设备制造商在内的某些美光客户已接到中国的关键信
260、息基础设施(CII)运营商或政府代表的通知,涉及到未来使用美光产品的事宜。美光总部在中国约 50%的业务可能会受到影响,相当于美光全球收入的低两位数百分比,可能会受到影响。尽管美光受影响的一部分市场可以由三星、SK 海力士等填补,但将加速长鑫存储等原厂国产 DRAM 导入,以及存储芯片、模组、封测、主控芯片等产业链标的国产自主可控进程。建议关注国内存储芯片标的兆易创新、紫光国微、复旦微电、北京君正、聚辰股建议关注国内存储芯片标的兆易创新、紫光国微、复旦微电、北京君正、聚辰股份、普冉股份、东芯股份、恒烁股份、上海贝岭等;存储模组及主控标的江波龙、份、普冉股份、东芯股份、恒烁股份、上海贝岭等;存储
261、模组及主控标的江波龙、佰维存储、朗科科技、德明利、国科微等佰维存储、朗科科技、德明利、国科微等。存储存储经销经销 香农芯创:香农芯创:公司自 2020 年起进入半导体领域,立足半导体分销业务,在存储器及主控芯片领域,与 SK 海力士、MTK 联发科等具备长期合作关系,并且公司目前重点布局企业级 SSD 的研发。雅创电子:雅创电子:拟收购的 WE 主要代理 SK 海力士的存储器,上述产品将作为未来布局的重点方向,目前尚未形成销售额。AI 服务器及服务器及 HBM 配套配套(Raid、CXL 芯片等)芯片等):国芯科技:国芯科技:公司基于 C*Core CPU 内核 C8000 的第一代 Raid
262、 芯片产品,具备多个独立的接口通道、支持连接最多 40 个机械硬盘或 SSD 固态存储盘,兼容 PCIE标准,目前已经量产流片;另外,目前公司国家重大需求、信息安全以及边缘计算和网络通信等领域有多个 SoC 芯片正在进行多芯片合封,最多已经实现 6 颗裸 Die 的合封,目前正在研究规划合封多 HBM 内存的 2.5D 的芯片封装技术。澜澜起科技:起科技:2022 年 5 月 6 日,公司宣布发布全球首款 CXL 内存扩展控制器芯片(MXC),转为内存 AIC 扩展卡、背板及 EDSFF 内存模组而设计,可大幅扩展内存容量和带宽,满足 HPC、AI 等数据密集型应用需求。未来 CXL 有望搭配
263、HBM 使用,HBM 需求增长也有望带动 CXL 用量增长。创益通:创益通:公司主营数据存储互连产品、消费电子互连产品、通讯互连产品和精密结构件,数据存储互连产品主要包括各种型号的高速连接器、高频高速数据线等。敬请阅读末页的重要说明 78 行业深度报告 表表 17:AI 服务器存储及先进封装产业链上市标的服务器存储及先进封装产业链上市标的 产业环节产业环节 公司公司 海外标的 存储原厂:SK 海力士、三星、美光 设备:Camtek、Onto、AMAT、KLA、DISCO、SUSS、EVG Group、BESI、TOWA、YAMADA、ASMPT 材料:住友电木、昭和电工、美国杜邦、汉高、Cab
264、ot 等 先进封装 相关设备 中科飞测、北方华创、中微公司、拓荆科技、华海清科、盛美上海、芯源微、精测电子、芯碁微装、文一科技、至正股份、新益昌、光力科技、德龙激光、赛腾股份、耐科装备、亚威股份、劲拓股份、迈为股份、奥特维、长川科技、华峰测控、金海通等 先进封装 相关材料 鼎龙股份、安集科技、雅克科技、强力新材、天承科技、华海诚科、联瑞新材、壹石通、飞凯材料、德邦科技、兴森科技、生益科技、深南电路、神工股份、上海新阳、华正新材、方邦股份、回天新材、国风新材等 先进封装 长电科技、通富微电、华天科技、深科技、太极实业、甬矽电子等 存储芯片 兆易创新、紫光国微、复旦微电、北京君正、聚辰股份、普冉股
265、份、东芯股份、恒烁股份、上海贝岭等 存储模组 及主控 江波龙、佰维存储、朗科科技、德明利、国科微等 存储经销 香农芯创、雅创电子等 存储配套 国芯科技、澜起科技、创益通等 资料来源:公司官网,招商证券整理 敬请阅读末页的重要说明 79 行业深度报告 八八、风险提示、风险提示 1)AI 服务器渗透率提升不及预期。服务器渗透率提升不及预期。当前全球 AI 服务器渗透率依旧较低,如果AI 服务器渗透率提升不及预期,那么将影响存储市场空间的提升以及 HBM 等新型存储器、TSV 等先进封装技术的发展。2)存储行业复苏不及预期。)存储行业复苏不及预期。存储行业下游手机、服务器、PC 等需求仍未明显复苏,
266、如果下游复苏不及预期,那么行业供需平衡状态预计更晚到来,价格涨势或将无法延续。3)国产替代不及预期。)国产替代不及预期。如果存储行业国产替代进程放缓,那么不利于存储原厂、芯片、模组、封测厂商等的国产化导入,进而会对国内存储产业链造成不利影响。4)研发进展不及预期。)研发进展不及预期。国内厂商在 DRAM、NAND、HBM 等存储器的技术方面仍落后于海外原厂,仍处于持续追赶过程中,长期来看,假如国内存储原厂如合肥长鑫、长江存储,及国内存储芯片、模组、封测等产业链标的研发能力下降,那么将影响国内存储产品发布。敬请阅读末页的重要说明 80 行业深度报告 参考报告:参考报告:1、半导体行业月度深度跟踪
267、:需求回暖叠加库存改善,半导体产业链景气复苏趋势明显2023-11-07 2、三星电子 23Q3 跟踪报告:存储芯片触底复苏,看好 2024 年手机等多终端需求改善2023-11-02 3、SK 海力士 23Q3 跟踪报告:存储行业全面复苏,指引 AI 服务器和 HBM 高速增长2023-11-01 4、美光 FY23Q4 跟踪报告:存储价格已逐步触底,2024 年供需关系将大幅改善2023-10-09 5、存储行业跟踪报告:存储拐点曙光初现,自主可控持续加速2023-04-04 敬请阅读末页的重要说明 81 行业深度报告 分析师分析师承诺承诺 负责本研究报告的每一位证券分析师,在此申明,本报
268、告清晰、准确地反映了分析师本人的研究观点。本人薪酬的任何部分过去不曾与、现在不与,未来也将不会与本报告中的具体推荐或观点直接或间接相关。评级评级说明说明 报告中所涉及的投资评级采用相对评级体系,基于报告发布日后 6-12 个月内公司股价(或行业指数)相对同期当地市场基准指数的市场表现预期。其中,A 股市场以沪深 300 指数为基准;香港市场以恒生指数为基准;美国市场以标普 500 指数为基准。具体标准如下:股票股票评级评级 强烈推荐:预期公司股价涨幅超越基准指数 20%以上 增持:预期公司股价涨幅超越基准指数 5-20%之间 中性:预期公司股价变动幅度相对基准指数介于 5%之间 减持:预期公司
269、股价表现弱于基准指数 5%以上 行业评级行业评级 推荐:行业基本面向好,预期行业指数超越基准指数 中性:行业基本面稳定,预期行业指数跟随基准指数 回避:行业基本面转弱,预期行业指数弱于基准指数 重要重要声明声明 本报告由招商证券股份有限公司(以下简称“本公司”)编制。本公司具有中国证监会许可的证券投资咨询业务资格。本报告基于合法取得的信息,但本公司对这些信息的准确性和完整性不作任何保证。本报告所包含的分析基于各种假设,不同假设可能导致分析结果出现重大不同。报告中的内容和意见仅供参考,并不构成对所述证券买卖的出价,在任何情况下,本报告中的信息或所表述的意见并不构成对任何人的投资建议。除法律或规则规定必须承担的责任外,本公司及其雇员不对使用本报告及其内容所引发的任何直接或间接损失负任何责任。本公司或关联机构可能会持有报告中所提到的公司所发行的证券头寸并进行交易,还可能为这些公司提供或争取提供投资银行业务服务。客户应当考虑到本公司可能存在可能影响本报告客观性的利益冲突。本报告版权归本公司所有。本公司保留所有权利。未经本公司事先书面许可,任何机构和个人均不得以任何形式翻版、复制、引用或转载,否则,本公司将保留随时追究其法律责任的权利。