《北方华创-公司研究报告-半导体设备平台型公司受益国产替代加速-230526(32页).pdf》由会员分享,可在线阅读,更多相关《北方华创-公司研究报告-半导体设备平台型公司受益国产替代加速-230526(32页).pdf(32页珍藏版)》请在三个皮匠报告上搜索。
1、证券研究报告公司深度研究半导体 东吴证券研究所东吴证券研究所 1/32 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 北方华创(002371)半导体设备半导体设备平台型公司平台型公司,受益国产替代加速受益国产替代加速 2023 年年 05 月月 26 日日 证券分析师证券分析师 周尔双周尔双 执业证书:S0600515110002 证券分析师证券分析师 黄瑞连黄瑞连 执业证书:S0600520080001 股价走势股价走势 市场数据市场数据 收盘价(元)299.16 一年最低/最高价 211.97/358.03 市净率(倍)7.77 流通 A 股市
2、值(百万元)157,942.37 总市值(百万元)158,423.20 基础数据基础数据 每股净资产(元,LF)38.49 资产负债率(%,LF)53.97 总股本(百万股)529.56 流通 A 股(百万股)527.95 相关研究相关研究 北方华创(002371):2022 年报&2023 年一季报点评:业绩实现高速增长,引领半导体设备国产替代 2023-05-02 北方华创(002371):2022 年业绩快报&2023Q1 业绩预告点评:国产替代加速,Q1 业绩超出市场预期 2023-04-14 买入(维持)Table_EPS 盈利预测与估值盈利预测与估值 2022A 2023E 202
3、4E 2025E 营业总收入(百万元)14,688 21,165 27,514 35,300 同比 52%44%30%28%归属母公司净利润(百万元)2,353 3,543 4,759 6,171 同比 118%51%34%30%每股收益-最新股本摊薄(元/股)4.44 6.69 8.99 11.65 P/E(现价&最新股本摊薄)67.34 44.72 33.29 25.67 Table_Tag 关键词:关键词:#进口替代进口替代 Table_Summary 投资要点投资要点 本土本土半导体设备领军者,业绩半导体设备领军者,业绩呈现呈现加速增长加速增长势头势头 公司专业从事半导体装备、真空装备
4、及精密电子元器件等业务,其中半导体设备覆盖刻蚀、薄膜沉积、氧化扩散、清洗设备等领域,成功供货中芯国际、长江存储、华虹集团、武汉新芯等龙头客户。受益于内资晶圆厂大规模扩产&设备国产替代,公司业绩加速增长。1)收入端:)收入端:2022 年公司营业收入达到 146.88 亿元,2018-2022 年 CAGR达到 45%。2023Q1 实现营收 38.71 亿元,同比+81%,保持快速上升态势;2)利润端:)利润端:2022 年公司归母净利润为 23.53 亿元,2018-2022 年 CAGR 高达 78%,2023Q1 达到5.92 亿元,同比+187%。2022 年公司销售净利率和扣非销售净
5、利率分别达到 17.30%和14.34%,同比+4.98pct 和+6.01pct。截至 2023Q1 末,公司存货和合同负债分别达到150.12、78.22 亿元,同比+55%、+54%,验证在手订单充足,将保障业绩延续高增。海外制裁加速国产替代进程,海外制裁加速国产替代进程,大陆大陆半导体设备需求维持高位半导体设备需求维持高位 美国、荷兰、日本相继加入限制阵营,主要聚焦在先进制程领域,半导体设备国产替代诉求愈发迫切。底层技术方面,国产半导体设备企业在多个核心领域已具备先进制程技术积淀,具备持续扩张的底层基础。政策扶持方面,2023 年科技自主可控已经上升到举国体制,多省市将集成电路半导体芯
6、片纳入当地政府报告,并从技术创新、项目建设、资金支持等层面支持产业链发展,政策利好持续落地。海外制裁升级背景海外制裁升级背景下,半导体设备进口替代逻辑持续强化,我们看好晶圆厂加速国产设备导入,下,半导体设备进口替代逻辑持续强化,我们看好晶圆厂加速国产设备导入,2023 年年国产化率提升有望超出市场预期。国产化率提升有望超出市场预期。晶圆厂扩产方面,我们预计 2023 年内资晶圆厂资本开支整体持平或微降,好于年初市场预期。随着 2023Q2 招标陆续启动,国产半导体设备公司订单有望快速兑现。此外,半导体景气复苏同样利好设备,中国大陆 IC 设计龙头库存水位开始下降,行业底部信号清晰。SEMI 预
7、计预计 2024 年全球晶圆厂设备支出约年全球晶圆厂设备支出约 920 亿美元,同比增长亿美元,同比增长 21%。叠加自主可控需求,我们预计叠加自主可控需求,我们预计 2024 年中国大陆年中国大陆半导体半导体设备市场规模将达设备市场规模将达 2652 亿元,亿元,同比同比+32%,重回重回快速快速增长。增长。平台型平台型产品线布局趋于完善,公司成长逻辑清晰产品线布局趋于完善,公司成长逻辑清晰 作为国产半导体设备领军者,公司持续受益设备国产替代作为国产半导体设备领军者,公司持续受益设备国产替代+产品线延展。产品线延展。1)刻蚀)刻蚀设备:设备:我们预估 2024 年中国大陆半导体刻蚀设备市场规
8、模达到 557 亿元,其中 ICP 和CCP 设备市场规模分别达到 306 和 195 亿元。公司在 ICP 领域具备较强市场竞争力,引领国产替代浪潮,同时积极布局 CCP 领域,有望持续扩张。2)薄膜沉积设备:)薄膜沉积设备:我们预估 2024 年中国大陆半导体薄膜沉积设备市场规模将达 583 亿元,其中 PECVD、PVD、ALD 市场规模分别为 193、111、64 亿元。公司 PVD 市场竞争力显著,在 2022年华虹无锡和积塔半导体 PVD 招标中份额分别达到 20%和 36%。此外,公司持续拓展CVD、ALD 等产品系列,不断打开成长空间。3)热处理设备:)热处理设备:我们预计 2
9、025 年中国大陆热处理设备市场规模约为 52 亿元,2022 年公司在华虹无锡、积塔半导体热处理设备招标中份额分别为 7%、44%,已具备较强市场竞争力。4)清洗设备:)清洗设备:我们预计 2024年中国大陆市场规模约 133 亿元,公司收购 Akrion 完善清洗设备产品线,产品体系不断完善,已成功覆盖槽式、单片清洗设备,有望成为重要增长点。盈利预测与投资评级:盈利预测与投资评级:考虑到公司在手订单充足、订单加速交付,我们维持 2023-2025 年公司营业收入预测分别为 211.65、275.14 和 353.00 亿元,当前市值对应动态 PS 分别为 7、6 和 4 倍;维持 2023
10、-2025 年归母净利润预测分别为 35.43、47.59和 61.71 亿元,当前市值对应动态 PE 分别为 45、33 和 26 倍。考虑到公司在国产半导体设备领域的平台型龙头地位,同时估值处于底部,维持“买入”评级。风险提示:风险提示:晶圆厂资本开支不及预期、新品产业化进展不及预期等。-17%-12%-7%-2%3%8%13%18%23%28%33%2022/5/252022/9/232023/1/222023/5/23北方华创沪深300 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 2/32 内容目录内容目录 1.国产半导
11、体设备领军者,业绩表现加速增长国产半导体设备领军者,业绩表现加速增长.5 1.1.国产半导体设备平台型龙头,产品系列持续拓展.5 1.2.高度重视研发投入,股权激励利好中长期发展.7 1.3.业绩持续快速增长,募投扩产打开成长天花板.9 2.海外制裁加速国产替代进程,半导体设备需求维持高位海外制裁加速国产替代进程,半导体设备需求维持高位.12 2.1.美、荷、日相继加码制裁,有望驱动国产化率超预期提升.12 2.2.扩产上修静待招标启动,半导体景气复苏同样利好设备.14 3.平台型产品线布局趋于完善,公司成长逻辑清晰平台型产品线布局趋于完善,公司成长逻辑清晰.16 3.1.刻蚀设备:ICP 具
12、备较强市场竞争力,积极布局 CCP 领域.17 3.2.薄膜沉积设备:PVD 具备国产主导地位,CVD&ALD 快速拓展.20 3.3.热处理设备:本土市场规模约 50 亿元,公司已占据较高市场份额.22 3.4.清洗设备:国产化率已经较高,公司产品体系不断完善.25 3.5.半导体设备零部件:聚焦电气&仪器仪表类等零部件,完善产业布局.26 4.电子元器件:下游需求旺盛,业务规模快速扩张电子元器件:下游需求旺盛,业务规模快速扩张.28 5.盈利预测与投资评级盈利预测与投资评级.28 6.风险提示风险提示.30 PZ9YsWiXnVmOnPmRbR8Q7NoMqQsQmPkPpPnQlOtRz
13、RbRtRoOMYnRvMuOoMnP 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 3/32 图表目录图表目录 图 1:公司成立二十余载,平台化布局半导体装备、真空装备、精密电子元器件等领域.5 图 2:公司主营产品包括半导体装备、真空及锂电设备、精密电子元器件等.6 图 3:2022 年公司电子工艺装备收入占比 82%.7 图 4:2022 年北方华创微电子收入占比达到 78%.7 图 5:公司已成功覆盖国内集成电路龙头客户.7 图 6:2022 年公司前五大客户收入占比下降至 25.67%.7 图 7:公司实际控制人为拥有国
14、资背景的北京电子控股.8 图 8:2018-2022 年公司研发投入 CAGR 达到 42%.8 图 9:公司研发投入占营收比重高于本土同行平均.8 图 10:2022 年公司再次实施股票期权激励计划.9 图 11:公司已掌握集成电路领域多项核心技术.9 图 12:2018-2022 年公司营业收入 CAGR 达到 45%.10 图 13:2022 年北方华创微电子收入占比达到 78%.10 图 14:2018-2022 年公司归母净利润 CAGR 为 78%.10 图 15:2018 年以来公司扣非销售净利率快速提升.10 图 16:2020 年以来公司销售毛利率快速上升.11 图 17:2
15、018 年以来公司期间费用率快速下降.11 图 18:截至 2023Q1 末,公司存货达到 150.12 亿元.11 图 19:截至 2023Q1 末,公司合同负债达到 78.22 亿元.11 图 20:2021 年公司募投项目重在加码半导体设备研发&产业化.12 图 21:2022 年半导体设备整体国产化率约 22%.12 图 22:2022 年华虹无锡多款设备招标国产化率不足 20%.12 图 23:美国、荷兰、日本相继加入对中国大陆的半导体设备制裁阵列.13 图 24:本土半导体设备企业在多个环节先进制程领域产业化进展加速.13 图 25:2021 年底中国大陆晶圆产能全球占比仅 16%
16、.14 图 26:2021 年中国大陆半导体销售额全球占比为 35%.14 图 27:中芯国际持续大规模扩产,已成为中国大陆晶圆代工扩产主力.14 图 28:2023Q3 美光营业收入乐观环比+6%.15 图 29:2022Q4 中国大陆 IC 设计存货周转天数出现下降.15 图 30:全球半导体和半导体设备销售额同比增速高度联动.15 图 31:2024 年中国大陆半导体设备市场规模将达 2652 亿元.16 图 32:公司在半导体设备领域的布局较为全面.16 图 33:刻蚀工艺将光刻后的图形从光刻胶转移到待刻蚀的薄膜上.17 图 34:2024 年中国大陆半导体刻蚀设备市场规模约 557
17、亿元.18 图 35:本土企业在半导体刻蚀设备领域已全面覆盖 ICP 和 CCP.19 图 36:2022 年华虹无锡刻蚀设备中标情况.19 图 37:2022 年积塔半导体刻蚀设备中标情况.19 图 38:公司 ICP 刻蚀设备广泛用于硅、金属刻蚀等领域.20 图 39:薄膜沉积设备主要分为 CVD、PVD 和 ALD 三大类.20 图 40:2024 年中国大陆半导体薄膜沉积设备市场规模将达 583 亿元.21 图 41:2022 年华虹无锡 PVD 中标情况.21 图 42:2022 年积塔半导体 PVD 中标情况.21 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分
18、东吴证券研究所东吴证券研究所 公司深度研究 4/32 图 43:热处理设备以炉管设备为主.23 图 44:2025 年中国大陆半导体热处理设备市场规模约 52 亿元.23 图 45:2021 年全球热处理设备市场基本由 AMAT、TEL、日立主导.24 图 46:2022 年华虹无锡热处理设备中标情况.24 图 47:2022 年积塔半导体热处理设备中标情况.24 图 48:公司热处理设备产品系列较为丰富.24 图 49:清洗约占集成电路工序步骤的 33%.25 图 50:2019 年全球单片清洗设备占比高达 75%.25 图 51:2024 年中国大陆半导体清洗设备市场规模约 133 亿元.
19、25 图 52:2022 年半导体清洗设备国产化率超过 30%.26 图 53:2022 年全球&中国大陆半导体设备零部件市场规模分别为 426 和 112 亿美元.27 图 54:在半导体设备领域,公司是电气类和仪器仪表类零部件的国内主要企业.27 图 55:七星华创精密电子营收快速增长.28 图 56:七星华创精密电子净利润快速增长.28 图 57:2022 年七星华创精密电子销售净利率达到 40.47%,同比+5.60pct.28 图 58:可比公司估值(截至 2023/05/25 收盘股价).30 表 1:公司分业务收入预测(百万元).29 请务必阅读正文之后的免责声明部分请务必阅读正
20、文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 5/32 1.国产国产半导体设备半导体设备领军者领军者,业绩表现加速增长,业绩表现加速增长 1.1.国产国产半导体设备半导体设备平台型龙头平台型龙头,产品系列持续拓展产品系列持续拓展 北方华创北方华创成立于成立于 2001 年,年,是国内领先的高端电子工艺装备供应商,专业从事半导是国内领先的高端电子工艺装备供应商,专业从事半导体装备、真空装备及精密电子元器件等的研发、生产、销售和技术服务。体装备、真空装备及精密电子元器件等的研发、生产、销售和技术服务。公司构筑多元化技术平台,多线并行布局产品体系。公司构筑多元化技术平台,多线并行
21、布局产品体系。公司与子公司北方华创微电子、北方华创真空等均系经北京市科技局、财政局、税务局联合认定的高新技术企业,经过多年研发创新,公司已掌握刻蚀、薄膜沉积、真空热处理等领域的多项核心技术,曾承担国家科技部“十五”863 集成电路制造装备重大项目及国家 02 科技重大专项,蝉联2013-2018 年“中国半导体设备十强单位”,并荣获“国家级企业技术中心”、2018 年“中国半导体设备五强企业”、2021 年“中国电子百强企业”等荣誉称号。图图1:公司成立二十余载,平台化布局半导体装备、真空装备、精密电子元器件等领域公司成立二十余载,平台化布局半导体装备、真空装备、精密电子元器件等领域 数据来源
22、:公司公告,公司官网,东吴证券研究所 公司主营产品公司主营产品包括半导体装备、真空包括半导体装备、真空&新能源锂电装备及精密元器件新能源锂电装备及精密元器件三大三大类:类:(1)半导体)半导体工艺工艺装备:装备:主要由子公司北方华创微电子生产经营,覆盖刻蚀设备(ICP、CCP)、薄膜沉积设备(PVD、CVD、ALD)、氧化扩散、清洗设备、外延设备等类别,广泛应用于逻辑芯片、存储芯片、先进封装、第三代半导体、微机电系统等领域。(2)真空真空&锂电装备:锂电装备:真空装备主要包含真空热处理设备、气氛保护热处理设备、请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴
23、证券研究所 公司深度研究 6/32 连续式热处理设备和晶体生长设备等,广泛应用于真空电子、新能源光伏、半导体材料、磁性材料、新能源汽车等领域。锂电设备主要提供浆料制备、真空搅拌机、涂布机、强力轧膜机、高速分切机等极片制造装备,集流体卷绕 PVD 镀膜设备已进入客户端验证。(3)精密)精密电子电子元器件:元器件:由子公司七星华创精密电子生产经营,包括石英晶体器件、石英微机电传感器、高精密电阻器、钽电容器、微波组件、模拟芯片、模块电源等产品,广泛应用于高铁、智能电网、通信、医疗电子、精密仪器、自动控制等领域。图图2:公司主营产品包括半导体装备、真空公司主营产品包括半导体装备、真空及锂电及锂电设备设
24、备、精密、精密电子电子元器件元器件等等 数据来源:公司公告,东吴证券研究所 电子工艺装备为公司主要收入来源,电子工艺装备为公司主要收入来源,泛泛半导体设备收入占比半导体设备收入占比快速快速提升。提升。1)整体来看,2018-2022 年公司电子工艺装备(主要包含半导体设备&真空设备)收入占比分别为76%、79%、80%、82%、82%,构成公司收入主体;2)细分设备来看,2022 年北方华创微电子实现收入 114.33 亿元,占公司总收入 78%,较 2018 年的 60%明显提升,侧面反映出公司半导体设备收入占比快速提升。(注:北方华创微电子主营“半导体设备”,其业务范畴涵盖集成电路、新型显
25、示、新能源等泛半导体领域)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 7/32 图图3:2022 年年公司电子工艺装备收入占比公司电子工艺装备收入占比 82%图图4:2022 年年北方华创微电子收入占比达到北方华创微电子收入占比达到 78%数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证券研究所 公司客户群体覆盖国内公司客户群体覆盖国内泛半导体各细分领域泛半导体各细分领域龙头。龙头。1 1)集成电路:集成电路:公司批量供货中芯国际、长江存储、华虹集团、武汉新芯等龙头客户,28nm Hardmask PVD、Al-P
26、ad PVD设备已率先进入国际供应链体系;2)LED、MEMS、新能源光伏等领域:、新能源光伏等领域:覆盖三安光电、华灿光电、隆基股份、晶澳太阳能等龙头客户。公司客户集中度适当,2020-2022 年前五大客户收入占比分别为 43.66%、30.55%和 25.67%,呈现快速下降趋势。图图5:公司公司已成功覆盖国内集成电路龙头客户已成功覆盖国内集成电路龙头客户 图图6:2022 年公司前五大客户收入占比下降至年公司前五大客户收入占比下降至 25.67%数据来源:公司公告,东吴证券研究所 数据来源:公司公告,东吴证券研究所 1.2.高度重视研发投入,股权激励利好中长期发展高度重视研发投入,股权
27、激励利好中长期发展 公司公司股权结构较为集中稳定,股权结构较为集中稳定,国资背景国资背景&大基金持股大基金持股为为持续快速持续快速发展发展打下基础打下基础。从股权构成来看,截至 2023Q1 末,北京电控直接&间接合计持有公司 43.08%股份,为公司实际控制人。作为北京市国资委全资下属企业,北京电控具备深厚的区域性资源优势和强大的资本实力,长期为公司提供战略资源支持。此外,国家集成电路产业投资基金&二期合计持有公司 8.36%股份,同样为公司持续快速发展奠定坚实基础。76%79%80%82%82%0%10%20%30%40%50%60%70%80%90%100%2002
28、12022其他电子元件电子工艺装备60%64%69%74%78%0%10%20%30%40%50%60%70%80%90%100%200212022其他七星华创精密电子北方华创真空北方华创微电子30.70%34.31%43.66%30.55%25.67%0%10%20%30%40%50%200212022前5大客户收入占比 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 8/32 图图7:公司实际控制人为拥有国资背景的北京公司实际控制人为拥有国资背景的北京电子控股电子控股 数据来源:公司公
29、告,东吴证券研究所(注:截至 2023Q1 末)公司高度重视研发公司高度重视研发端端投入,投入,积极进行前瞻性积极进行前瞻性技术技术布局。布局。2022 年公司研发支出达到35.66 亿元,2018-2022 年 CAGR 达到 42%。公司研发投入占营收比重常年高居 20%以上,2022 年达到 24.28%,高于中微公司、盛美上海、拓荆科技、华海清科等本土同行。持续性高研发投入,保障了技术先进性、产品领先性,为公司后续发展打下基础。图图8:2018-2022 年公司研发年公司研发投入投入 CAGR 达到达到 42%图图9:公司研发投入占营收比重高于本土同行平均公司研发投入占营收比重高于本土
30、同行平均 数据来源:公司公告,东吴证券研究所 数据来源:各公司公告,东吴证券研究所 此外,此外,公司公司还还高度重视研发团队建设,股权激励高度重视研发团队建设,股权激励下沉下沉绑定核心绑定核心技术技术人才。人才。中国大陆半导体产业的高速发展,技术人才是重要竞争力。截至 2022 年底,公司技术人员合计4,123 人,占比达到 41.2%。2022 年公司再次实施股权激励计划,首次授予的激励对象不超过 840 人,主要为核心技术人才&管理骨干。若以 2021 年底公司技术人员数量为基数,本次股权激励的覆盖面达到 26%。本次股权激励覆盖面进一步下沉,可以更好地激本次股权激励覆盖面进一步下沉,可以
31、更好地激励人才,将公司利益和激励对象紧密捆绑,利于公司中长期发展。励人才,将公司利益和激励对象紧密捆绑,利于公司中长期发展。0%10%20%30%40%50%60%70%80%90%05540200212022研发投入(亿元)yoy28.03%26.56%29.87%24.28%0%5%10%15%20%25%30%35%40%20022北方华创中微公司盛美上海拓荆科技华海清科本土同行平均 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 9/32 图图10:2022
32、年公司再次实施股票期权激励计划年公司再次实施股票期权激励计划 数据来源:公司公告,东吴证券研究所 高强度研发投入下,公司高强度研发投入下,公司已掌握多项已掌握多项集成电路核心技术。集成电路核心技术。截至 2022 年底,公司累计申请专利 6,800 余件,已获授权 3,900 余件。公司在光伏、LED、MEMS、功率器件等工艺设备及真空设备领域均处于国际先进水平,等离子刻蚀设备已突破新型高密度/低损伤等离子体源技术和脉冲射频控制技术,PVD 设备已突破溅射源设计技术、等离子产生与控制技术、颗粒控制技术等多项关键技术,技术水平处于行业领先地位。图图11:公司已公司已掌握掌握集成电路领域多项核心技
33、术集成电路领域多项核心技术 数据来源:公司公告,东吴证券研究所 1.3.业绩持续快速增长,募投扩产打开成长天花板业绩持续快速增长,募投扩产打开成长天花板 半导体设备半导体设备快速放量快速放量,驱动驱动公司收入规模公司收入规模持续持续扩张。扩张。1)整体来看,2022 年公司营业收入达到 146.88 亿元,2018-2022 年 CAGR 达到 45%。2023Q1 实现营业收入 38.71亿元,同比+81%,保持快速上升态势。2)分产品来看,公司半导体设备持续放量,2022年子公司北方华创微电子实现收入 114.33 亿元,2018-2022 年 CAGR 达到 55%,占公司总营业收入的比
34、重持续提升,是公司收入端持续快速增长的主要驱动力。激励对象激励对象获授的股票期权获授的股票期权数量(万股)数量(万股)占股首次授予总占股首次授予总量的比例量的比例占本激励计划占本激励计划公告日股本总公告日股本总额的比例额的比例核心技术人员(775人)957.9091.44%1.82%管理骨干(63人)89.708.56%0.17%1,047.60100.00%1.99%激励对象激励对象获授的股票期权获授的股票期权数量(万股)数量(万股)占预留授予总量占预留授予总量的比例的比例占本激励计划占本激励计划公告日股本总公告日股本总额的比例额的比例核心技术人员(226人)237.7591.44%0.45
35、%管理骨干(20人)22.258.56%0.04%260.00100.00%0.49%1307.601307.602.48%2.48%预留授予合计(838人)合计(246人)首次授予与预留授予合计首次授予与预留授予合计首次授予核心技术名称核心技术名称技术来源技术来源创新类别创新类别应用设备应用设备新型高密度/低损伤等离子体源技术新型高密度/低损伤等离子体源技术自主研发原始创新等离子刻蚀设备脉冲射频控制技术脉冲射频控制技术自主研发原始创新等离子刻蚀设备溅射源设计技术溅射源设计技术自主研发原始创新PVD设备等离子产生与控制技术等离子产生与控制技术自主研发原始创新PVD设备颗粒控制技术颗粒控制技术自
36、主研发原始创新PVD设备腔室设计与仿真模拟技术腔室设计与仿真模拟技术自主研发原始创新PVD设备 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 10/32 图图12:2018-2022 年公司营业收入年公司营业收入 CAGR 达到达到 45%图图13:2022 年年北方华创微电子收入占比达到北方华创微电子收入占比达到 78%数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证券研究所(注:“半导体设备”采用“北方华创微电子”营收口径,实际包含光伏设备等泛半导体设备)利润端,利润端,2018-2022 年公司归母净利润年公司
37、归母净利润 CAGR 高达高达 78%,高于同期收入端增速,高于同期收入端增速,侧面反映出公司盈利能力提升侧面反映出公司盈利能力提升。进一步分析发现,2018 年以来公司销售净利率和扣非销售净利率均呈现明显上行趋势,2022 年分别达到 17.30%和 14.34%,分别同比+4.98pct和+6.01pct,盈利水平明显提升。下面将从毛利端与费用端进行详细分析。图图14:2018-2022 年年公司公司归母净利润归母净利润 CAGR 为为 78%图图15:2018 年以来年以来公司扣非销售净利率快速提升公司扣非销售净利率快速提升 数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证
38、券研究所 1)毛利端:毛利端:半导体设备产品结构改善,驱动毛利率快速爬坡。半导体设备产品结构改善,驱动毛利率快速爬坡。2020-2022 年公司销年公司销售毛利率分别为售毛利率分别为 36.69%、39.41%和和 43.83%,快速爬升,主要系电子工艺装备毛利率快速上升,2020-2022 年分别为 29.44%、33.00%和 37.70%,我们判断核心在于半导体设备产品结构优化,同时成本端也存在一定规模化降本。2)费用端:)费用端:规模效应规模效应显现显现,期间费期间费用率稳中有降。用率稳中有降。2018-2022 年稳中有降,2023Q1 降至 22.28%,进一步驱动净利率提升。50
39、%22%49%60%52%81%0%10%20%30%40%50%60%70%80%90%02040608002002120222023Q1营业收入(亿元)yoy60%64%69%74%78%0%10%20%30%40%50%60%70%80%90%0204060800212022半导体设备收入(亿元)收入占比(%)86%32%74%101%118%187%0%50%100%150%200%05820022 2023Q1归母净利润(亿元)yoy8.51%9.11%
40、10.42%12.32%17.30%15.89%2.30%1.73%3.25%8.33%14.34%13.79%0%5%10%15%20%2002120222023Q1销售净利率(%)扣非销售净利率(%)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 11/32 图图16:2020 年以来公司销售毛利率快速上升年以来公司销售毛利率快速上升 图图17:2018 年以来公司期间费用率快速下降年以来公司期间费用率快速下降 数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证券研究所 公司在手订单充足,将保障
41、短期业绩高速增长。公司在手订单充足,将保障短期业绩高速增长。截至截至 2023Q1 末,公司存货和合同末,公司存货和合同负债分别达到负债分别达到 150.12、78.22 亿元,同比亿元,同比+55%、+54%,均均验证在手订单充足。验证在手订单充足。图图18:截至截至 2023Q1 末,公司存货达到末,公司存货达到 150.12 亿元亿元 图图19:截至截至 2023Q1 末,公司合同负债达到末,公司合同负债达到 78.22 亿元亿元 数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证券研究所 为应对旺盛的订单需求,为应对旺盛的订单需求,公司公司积极积极募投扩产募投扩产,不断,不
42、断打开成长瓶颈。打开成长瓶颈。2021 年公司非公开募集 85 亿元,用于“半导体装备产业化基地扩产项目(四期)”、“高端半导体装备研发项目”、“高精密电子元器件产业化基地扩产项目(三期)”等项目,将有效缓解产能瓶颈,进一步夯实核心竞争力。具体来看:1)半导体装备产业化基地扩产项目(四期):半导体装备产业化基地扩产项目(四期):设计年产量为集成电路设备&新兴半导体设备各 500 台+LED 设备 300 台+光伏设备 700台,达产后年均销售额约 74.6 亿元,对应年均利润总额约 8.07 亿元。2)高精密电子元高精密电子元器件产业化基地扩产项目(三期):器件产业化基地扩产项目(三期):设计
43、量产 22 万只高精密石英晶体振荡器+2000 万只特种电阻,达产年均销售额 4.43 亿元,对应年均利润总额为 1.33 亿元。38.38%40.53%36.69%39.41%43.83%41.18%0%10%20%30%40%50%60%2002120222023Q1北方华创中微公司盛美上海拓荆科技华海清科AMATASML32.23%35.00%30.25%30.53%27.14%22.28%-5%0%5%10%15%20%25%30%35%40%2002120222023Q1销售费用率管理费用率研发费用率财务费用率期间费用率0204060801
44、0002120222023Q1存货(亿元)存货(亿元)0204060802020202120222023Q1合同负债/预收款项(亿元)合同负债/预收款项(亿元)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 12/32 图图20:2021 年公司募投项目重在加码半导体设备研发年公司募投项目重在加码半导体设备研发&产业化产业化 数据来源:公司公告,东吴证券研究所 2.海外制裁加速国产替代进程,海外制裁加速国产替代进程,半导体设备需求维持高位半导体设备需求维持高位 2.1
45、.美、荷、日相继加码制裁,美、荷、日相继加码制裁,有望驱动国产化率超预期提升有望驱动国产化率超预期提升 整体来看,半导体设备国产化率仍处于低位。整体来看,半导体设备国产化率仍处于低位。收入口径下,2022 年 11 家半导体设备企业合计实现营业收入 376 亿元,同比+53%,对应半导体设备市场整体国产化率约22%。细分领域来看,国产半导体设备企业在清洗、热处理、CMP、刻蚀设备等领域已取得一定市场份额。然而,对于光刻、量对于光刻、量/检测、涂胶显影、离子注入设备等领域,我们检测、涂胶显影、离子注入设备等领域,我们预估预估 2022 年国产化率仍低于年国产化率仍低于 10%,国产替代空间较大。
46、,国产替代空间较大。图图21:2022 年半导体设备整体国产化率年半导体设备整体国产化率约约 22%图图22:2022 年华虹无锡多款设备招标国产化率不足年华虹无锡多款设备招标国产化率不足 20%数据来源:Wind,SEMI,东吴证券研究所 数据来源:中国国际招标网,东吴证券研究所 外部事件方面,外部事件方面,继继 2022 年年 10 月美国对中国大陆半导体制裁升级后,月美国对中国大陆半导体制裁升级后,2023 年荷兰、年荷兰、日本相继加入限制阵营,主要聚焦在先进制程领域日本相继加入限制阵营,主要聚焦在先进制程领域,半导体设备逆全球化趋势愈发明显,半导体设备逆全球化趋势愈发明显。序号序号项目
47、名称项目名称预计总投资额(万元)预计总投资额(万元)募集资金拟投入额(万元)募集资金拟投入额(万元)1半导体装备产业化基地扩产项目(四期)381631.00348339.002高端半导体装备研发项目313581.00241420.003高精密电子元器件产业化基地扩产项目(三期)80000.0073403.234补充流动资金186837.77186837.77962049.77850000.00合计0%10%20%30%40%50%60%05003003504002002020212022E营业收入(亿元)同比(%)国产化率80%33%23%15%11
48、%10%6%2%0%0%0%10%20%30%40%50%60%70%80%90%2022年国产化率 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 13/32 图图23:美国、荷兰、日本相继加入对中国大陆的半导体设备制裁阵列美国、荷兰、日本相继加入对中国大陆的半导体设备制裁阵列 数据来源:METI,BIS 等,东吴证券研究所 国产半导体设备先进制程产业化进展加速,具备持续扩张的条件。国产半导体设备先进制程产业化进展加速,具备持续扩张的条件。国产半导体设备企业在薄膜沉积、刻蚀、量/检测、CMP、清洗等领域均已具备一定先进制程设备技术
49、积淀,并且产业化进展正在快速推进,具备持续扩张的底层技术基础。图图24:本土半导体设备企业在多个环节先进制程领域产业化进展加速本土半导体设备企业在多个环节先进制程领域产业化进展加速 数据来源:各公司公告,东吴证券研究所 海外制裁升级的背景下,海外制裁升级的背景下,半导体设备进口替代逻辑持续强化半导体设备进口替代逻辑持续强化。我们看好在国产设备商已经具备相关技术积淀的基础上,内资晶圆厂加速对于国产半导体设备的导入进程,我们判断我们判断半导体半导体关键设备突破、关键设备突破、国产化率提升有望超出市场预期。国产化率提升有望超出市场预期。时间时间内容内容美国2022.10.71)对128层及以上3D
50、NAND芯片、18nm半间距及以下DRAM内存芯片、16nm或14nm或以下非平面晶体管结构(即FinFET或GAAFET)逻辑芯片相关设备进一步管控。2)在没有获得美国政府许可的情况下,美国国籍公民禁止在中国从事芯片开发或制造工作,包括美国设备的售后服务人员。荷兰2023.3.8荷兰政府宣布将对深紫外光刻机(DUV)在内的特定半导体制造设备实施新的出口管制,并加入美国对华芯片出口管制的阵营。ASML官网发布公告对荷兰政府设备出口限制进行解读,ASML认为仅NXT:2000i以上高端机型将需要申请出口许可,成熟制程客户仍将可以使用1980及以下型号浸没式光刻机。日本2023.3.31日本政府宣
51、布将修订外汇与外贸法相关法令,计划5月颁布,7月施行:1)清单拟对六大类23种先进半导体制造设备追加出口管制,主要包括极紫外线(EUV)相关产品的制造设备和用于存储元件立体堆叠的刻蚀设备。按线宽来看,均为1014nm以下的先进制程制造设备。2)此次新增的23种半导体制造设备及技术在从日本对外出口时均会触发出口许可证要求,而这些新增物项在出口至中国大陆、中国香港和中国澳门时将仅能申请流程较为复杂、审批更加严格的许可证类型。公司公司设备类型设备类型先进制程进展先进制程进展PECVD1)SiN、SiON、TEOS、ACHM等工艺:逻辑14nm产业化验证中;存储128L 3D NAND、19/17nm
52、 DRAM产业化验证中;2)SiO2工艺:逻辑14nm及10nm以下产业化验证中;3)Thick TEOS工艺:存储DRAM19/17nm产业化验证中;4)NO stack工艺:存储3D NAND128L产业化验证中SACVDBPSG工艺刻蚀调节层:DRAM19/17nm产业化验证中ALD1)SiO2、SiN等介质材料薄膜:逻辑28-14nm SADP、STI Liner工艺已实现产业化应用;存储128L以上3D NAND、19/17nm DRAM产业化验证中;2)AI2O3、ALN等金属化合物薄膜:逻辑28nm以下制程研发中CCP刻蚀设备1)逻辑:在5nm及更先进产线实现多次批量销售;28n
53、m及以下一体化大马士革刻蚀设备已通过初步验证,即将进入市场2)3D NAND:可在64L、128L及更高层数实现量产,60:1极高深宽比刻蚀设备已完成开发进入产线验证ICP刻蚀设备已经在多个逻辑芯片和存储芯片厂商的生产线上量产。正在进行下一代产品的技术研发,以满足5nm以下的逻辑芯片、1Xnm的DRAM芯片和200L以上的3D NAND芯片等产品的刻蚀需求薄膜沉积设备满足先进逻辑器件接触孔填充应用,以及64L、128L 3D NAND中的多个关键应用。首台CVD钨设备已付运至关键存储客户端验证评估,新型号高深宽比CVD钨和ALD钨设备已开始实验室测试并对接关键客户验证EPI(外延设备)面向28
54、nm及以下的逻辑器件、存储器件和功率器件等的广泛应用。公司正在开放拥有自主知识产权的外延设备,以满足客户先进制程中锗硅外延生长工艺的电性和可靠性需求。目前该设备研发进展顺利,已进入样机的制造和调试阶段华海清科华海清科CMP设备1)逻辑:在28-14nm产线已实现量产,14nm制造设备正处于开发阶段2)存储:在128L及以上3D NAND产线已实现量产,在1X/1Y DRAM芯片制造产线已实现量产芯源微芯源微涂胶显影设备新型前道设备可通过选装全面覆盖I-Line、KrF、ArFdry、ArF浸没式等多种光刻技术,实现了在28nm及以上工艺节点的全覆盖,已通过客户端验证达到量产要求精测电子精测电子
55、量/检测设备1)膜厚量测设备:可应用于28nm FEOL以及14nm BEOL,已取得一线客户批量订单2)OCD:满足28nm制程需求,已通过多家客户验证并成功交付,可测量FinFET、NAND等多种样品;3)电子束缺陷复查设备(Review SEM):可应用于1Xnm产线,已取得一线客户批量订单至纯科技至纯科技清洗设备湿法设备已满足28nm全部工艺要求且均获得订单,14nm及以下湿法设备也有4台设备交付拓荆科技拓荆科技中微公司中微公司 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 14/32 2.2.扩产上修静待招标启动,半导体
56、景气复苏同样利好设备扩产上修静待招标启动,半导体景气复苏同样利好设备 相较相较 IC 设计、封测环节,晶圆制造是中国大陆当前半导体行业短板,自主可控驱设计、封测环节,晶圆制造是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂逆周期大规模扩产。动本土晶圆厂逆周期大规模扩产。中国大陆市场晶圆产能缺口较大,2021 年底晶圆全球产能占比仅为 16%,远低于半导体销售额全球占比。外部制裁事件频发的背景下,晶圆外部制裁事件频发的背景下,晶圆环节自主可控需求越发强烈,本土晶圆厂逆周期扩产诉求持续放大。环节自主可控需求越发强烈,本土晶圆厂逆周期扩产诉求持续放大。图图25:2021 年底中国大陆晶圆产能全球
57、占比仅年底中国大陆晶圆产能全球占比仅 16%图图26:2021 年中国大陆半导体销售额全球占比为年中国大陆半导体销售额全球占比为 35%数据来源:SEMI,东吴证券研究所 数据来源:SEMI,东吴证券研究所 对于对于 2023 年晶圆厂资本开支,我们预计整体持平或微降,好于年初市场预期。年晶圆厂资本开支,我们预计整体持平或微降,好于年初市场预期。1)在逻辑端,中芯国际为扩产主力,在逻辑端,中芯国际为扩产主力,2023 年资本开支维持高位。年资本开支维持高位。作为内资晶圆代工龙头,中芯国际在半导体行业下行周期中,2022 年 8 月拟在天津新增规划产能为 10 万片/月,进一步验证逆周期扩产逻辑
58、。2022 年中芯国际资本开支达到 63.5 亿美元,同比+41%,并预计 2023 年资本开支基本持平。2)在存储端,在存储端,2023 年扩产好于先前预期,二三线晶年扩产好于先前预期,二三线晶圆厂粤芯、晋华、燕东等扩产量圆厂粤芯、晋华、燕东等扩产量我们我们预计仍有一定增长。预计仍有一定增长。设备招标方面,我们预计随着我们预计随着2023Q2 内资晶圆厂招标陆续启动,国内半导体设备公司订单有望持续兑现。内资晶圆厂招标陆续启动,国内半导体设备公司订单有望持续兑现。图图27:中芯国际持续大规模扩产,已成为中国大陆晶圆代工扩产主力中芯国际持续大规模扩产,已成为中国大陆晶圆代工扩产主力 数据来源:新
59、材料在线等,东吴证券研究所(注:因为产能状态更新不及时可能存在误差)韩国23%中国台湾21%中国大陆16%日本15%美洲11%欧洲5%其他地区9%美洲21%欧洲9%日本8%中国大陆35%亚太及其他地区27%厂商厂商实施主体实施主体工厂代码工厂代码工艺工艺尺寸类型尺寸类型项目地点项目地点2021年底产能2021年底产能(万片/月)(万片/月)规划产能规划产能(万片/月)(万片/月)状态状态中芯南方SN1逻辑代工FinFET14-7nm12寸上海1.53.5建成中芯南方SN2逻辑代工FinFET14-7nm12寸上海03.5在建中芯北方B1(Fab4、6)逻辑代工0.18m55nm12寸北京5.2
60、6建成中芯北方B2逻辑代工65-24nm12寸北京6.210建成中芯京城B3P1逻辑代工45/40-32/38nm12寸北京05在建中芯京城B3P2逻辑代工45/40-32/38nm12寸北京05计划中芯深圳Fab16A/B逻辑代工28nm12寸深圳04建成中芯西青28180nm逻辑12寸天津010在建中芯东方28nm逻辑12寸上海临港010计划中芯国际 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 15/32 展望未来,展望未来,我们看好在终端消费逐步复苏的背景下,半导体行业景气拐点逐步出现。我们看好在终端消费逐步复苏的背景下,
61、半导体行业景气拐点逐步出现。1)全球范围内来看,)全球范围内来看,2023Q2 美光实现营收 36.93 亿美元,环比-9.6%,降幅明显收窄,2023Q3 营收指引为 35-39 亿美元,环比-5%+6%,中枢基本持平,降幅进一步收窄。2)对于对于中国大陆中国大陆市场,市场,IC 设计龙头库存水位开始下降设计龙头库存水位开始下降。若以已披露年报的韦尔股份、紫光国微、北京君正、乐鑫科技、全志科技、上海贝岭和晶丰明源为统计样本,2022Q4末行业存货周转天数平均数为 196.62 天,环比下降 5%,库存水位已经出现下降迹象。图图28:2023Q3 美光营业收入乐观环比美光营业收入乐观环比+6%
62、图图29:2022Q4 中国大陆中国大陆 IC 设计存货周转天数出现下降设计存货周转天数出现下降 数据来源:美光官网,东吴证券研究所 数据来源:Wind,东吴证券研究所(左轴单位:天)历史数据表明,全球半导体设备与半导体销售额同比增速呈现高度联动效应,同时历史数据表明,全球半导体设备与半导体销售额同比增速呈现高度联动效应,同时在行业上行周期时,半导体设备可以表现出更强增长弹性。在行业上行周期时,半导体设备可以表现出更强增长弹性。展望 2024 年,全球范围内来看,在终端消费持续复苏的背景下,SEMI 预计 2024 年全球晶圆厂设备支出约 920 亿美元,同比增长 21%,2024 年半导体设
63、备需求有望明显反弹,进入下一轮上行周期。对对于中国大陆市场,叠加自主可控需求,我们看好于中国大陆市场,叠加自主可控需求,我们看好 2024 年半导体设备需求加速放量年半导体设备需求加速放量。定定量来看,我们量来看,我们预计预计 2024 年中国大陆年中国大陆半导体半导体设备市场规模设备市场规模将达将达 2652 亿元亿元,同比,同比+32%。图图30:全球半导体和半导体设备销售额同全球半导体和半导体设备销售额同比增速高度联动比增速高度联动 数据来源:SEMI,东吴证券研究所 -50%-40%-30%-20%-10%0%10%20%30%020406080100美光营业收入(亿美元)环比(%,悲
64、观)环比(%,乐观)11%-36%-14%-7%-7%10%7%52%5%3%-5%-40%-20%0%20%40%60%020040060080001600晶丰明源上海贝岭全志科技乐鑫科技北京君正紫光国微韦尔股份平均值环比(%)-20%-10%0%10%20%30%40%2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半导体销售额同比(%)全球半导体设备销售额同比(%)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 16/32 图图31
65、:2024 年中国大陆年中国大陆半导体半导体设备市场设备市场规模规模将达将达 2652 亿元亿元 数据来源:SEMI,东吴证券研究所测算 3.平台型产品线布局趋于完善,公司成长逻辑清晰平台型产品线布局趋于完善,公司成长逻辑清晰 作为国产半导体设备领军者作为国产半导体设备领军者,公司公司持续受益国产替代持续受益国产替代+产品线延展产品线延展。公司已成功覆盖薄膜沉积、刻蚀、热处理、清洗设备等领域,尤其在 PVD、ICP、热处理设备等领域占据领先地位。在稳固现有优势产品市场竞争力,持续快速提升市场份额的同时,公司产品体系持续完善,集成电路装备面向逻辑、存储、功率、先进封装等多领域拓展,刻蚀机、PVD
66、、CVD、ALD、立式炉、清洗机等多款新品进入主流产线,其中逻辑领域主流芯片产线批量采购公司设备;存储领域多种新型工艺设备进入产线验证;先进封装领域与国内主要封装厂均建立合作关系;功率器件领域与国内主流厂商开展深度合作,成为业内主流厂商重要设备供应商,公司成长空间持续快速打开。图图32:公司在半导体设备领域的布局较为全面公司在半导体设备领域的布局较为全面 数据来源:各公司官网,东吴证券研究所(公开信息不完全统计,更新不及时可能存在误差)2000020202222023E2023E2024E2024E2887
67、396345757645245257657689689694294232073320032652265216%15%20%23%26%29%26%32%35%薄膜沉积设备(22%)998456435441583光刻机(21%)955435416421557刻蚀设备(21%)955435416421557量/检测设备(11%)5063998220292清洗设备(5%)2329454766涂胶显影设备(
68、4%)37980106CMP设备(3%)2596080离子注入设备(2.5%)2495066全球半导体设备销售额(亿元)中国大陆半导体设备销售额(亿元)中国大陆半导体设备销售额(亿元)中国大陆半导体设备市场占比(%)其中CVD PVD ALD北方华创北方华创中微公司中微公司上海微电子上海微电子拓荆科技拓荆科技芯源微芯源微华海清科华海清科盛美上海盛美上海万业企业万业企业至纯科技至纯科技中科飞测中科飞测精测电子精测电子长川科技长川科技华峰测控华峰测控CMP设备设备测试设备测试设备公司公司晶圆制造设备晶圆制造设备薄膜沉积设备薄膜沉积设
69、备光刻机光刻机量检测量检测设备设备测试机测试机分选机分选机 探针台探针台刻蚀刻蚀设备设备涂胶显影涂胶显影设备设备离子注入机离子注入机清洗设备清洗设备热处理热处理设备设备 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 17/32 3.1.刻蚀设备刻蚀设备:ICP 具备具备较强市场竞争力较强市场竞争力,积极布局,积极布局 CCP 领域领域 刻蚀是光刻之后的关键刻蚀是光刻之后的关键工序工序,指指用物理用物理或化学或化学方法有选择地去除不需要的方法有选择地去除不需要的薄膜薄膜材料,材料,进而形成光刻定义的电路图形。进而形成光刻定义的电路图
70、形。根据工作机理不同,刻蚀可以分为湿法刻蚀和干法刻蚀两大类,其中干法刻蚀应用占比高达 90%。1)湿法刻蚀:)湿法刻蚀:早期主流刻蚀工艺,各向异性较差,无法满足精细布线要求。通常用于 3m 以上图形转移,以及干法刻蚀后清洗残留物等场景。2)干法刻蚀:)干法刻蚀:以等离子体刻蚀为主,主要利用等离子体产生的高化学活性粒子轰击薄膜表面,产生挥发性气体,从而形成各类微观结构。图图33:刻蚀工艺将光刻后的图形从光刻胶转移到待刻蚀的薄膜上刻蚀工艺将光刻后的图形从光刻胶转移到待刻蚀的薄膜上 数据来源:中微公司招股说明书,东吴证券研究所 根据等离子产生方式不同,干法刻蚀又分为根据等离子产生方式不同,干法刻蚀又
71、分为 CCP 和和 ICP 两大类设备两大类设备。1)CCP 设设备备:离子能量较高,主要应用于较硬的介质材料,刻蚀高深宽比的深孔、深沟等微结构。2)ICP 设备设备:离子能量较低,离子浓度均匀度较高,适用于更精细的加工控制,尤其适用于较软&较薄的薄膜材料加工。芯片微型化芯片微型化趋势下趋势下对精细加工需求量提升,对精细加工需求量提升,ICP 市场市场份额快速提升,份额快速提升,2020 年在干法刻蚀设备中的市场占比达到年在干法刻蚀设备中的市场占比达到 61%,超过,超过 CCP 设备设备。表表 1:干法刻蚀干法刻蚀主要分为主要分为 ICP 和和 CCP 两种技术路线两种技术路线 刻蚀系统刻蚀
72、系统 原理原理 适用工艺适用工艺 电容性等离子体刻蚀系统(CCP)(占比(占比 39%)以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构 逻辑 IC 前段工艺中的栅侧墙、硬掩膜刻蚀和封装环节中的接触孔、铝垫刻蚀、NAND 中的深斜孔槽 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 18/32 电感性等离子体刻蚀系统(ICP)(占比(占比 61%)以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料 浅沟槽隔离、多晶硅栅、金属栅、应变硅、金属导线、镶嵌式刻蚀金属硬掩膜等 数据来源:中微公司招股说明书,立鼎产业研究
73、院,东吴证券研究所 作为半导体三大核心设备之一,刻蚀设备在前道晶圆加工设备中价值量占比高达作为半导体三大核心设备之一,刻蚀设备在前道晶圆加工设备中价值量占比高达21%。我们预估我们预估 2024 年中国大陆半导体刻蚀设备市场规模达到年中国大陆半导体刻蚀设备市场规模达到 557 亿元,其中亿元,其中 ICP 和和CCP 设备市场规模分别设备市场规模分别达到达到 306 和和 195 亿元亿元,市场空间较为广阔。,市场空间较为广阔。图图34:2024 年中国大陆半导体刻蚀设备市场规模约年中国大陆半导体刻蚀设备市场规模约 557 亿元亿元 数据来源:SEMI,东吴证券研究所测算(注:美元:人民币汇率
74、取 1:7)全球刻蚀设备全球刻蚀设备仍由仍由 LAM、TEL 和和 AMAT 主导主导,本土企业已经全面覆盖本土企业已经全面覆盖 ICP 和和CCP。2020 年 LAM、TEL 和 AMAT 在全球刻蚀设备市场份额分别达到 47%、27%和17%,进口替代逻辑较强。在本土企业中,中微公司、北方华创率先实现产业化,已全面覆盖 ICP 和 CCP,整体呈现错位竞争。特别地,公司在公司在 ICP 领域具备较强市场竞争领域具备较强市场竞争力,广泛应用于硅刻蚀,以及铝、钨等金属刻蚀等,引领国产替代浪潮。力,广泛应用于硅刻蚀,以及铝、钨等金属刻蚀等,引领国产替代浪潮。2001820
75、020202120212022E2022E2023E2023E2024E2024E396339634577532625962597576757637%14%-7%19%44%5%-17%21%832832949949878878928548547907909429424314321432ICP设备=*61%4575268722873CCP设备=*39%29
76、2333308367530555465888837689689694294232073320032652265227%56%5%39%58%-5%1%32%85435435455755798392392374374379379501501ICP设备=*61%669228231306CCP设备=*39%42666997153146148
77、202028284444424242425656全球半导体设备销售额(亿元)全球半导体设备销售额(亿元)同比(%)全球刻蚀设备市场规模(亿元)=*21%全球刻蚀设备市场规模(亿元)=*21%其中 其中干法刻蚀设备=*90%干法刻蚀设备=*90%湿法刻蚀设备=*10%湿法刻蚀设备=*10%中国大陆半导体设备销售额(亿元)中国大陆半导体设备销售额(亿元)同比(%)中国大陆刻蚀设备市场规模(亿元)=*21%中国大陆刻蚀设备市场规模(亿元)=*21%其中干法刻蚀设备=*90%干法刻蚀设备=*90%其中湿法刻蚀设备=*10%湿法刻蚀设备=*10%请务必阅读正文之后的免责声明部分请务
78、必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 19/32 图图35:本土企业在本土企业在半导体半导体刻蚀设备领域已全面覆盖刻蚀设备领域已全面覆盖 ICP 和和 CCP 数据来源:各公司公告,各公司官网,东吴证券研究所 图图36:2022 年年华虹无锡华虹无锡刻蚀设备中标情况刻蚀设备中标情况 图图37:2022 年年积塔半导体积塔半导体刻蚀设备刻蚀设备中标情况中标情况 数据来源:中国国际招标网,东吴证券研究所 数据来源:中国国际招标网,东吴证券研究所 公司公司 ICP 刻蚀设备刻蚀设备市场竞争力显著市场竞争力显著,并积极布局并积极布局 CCP 等等领域,领域,有望持续
79、扩张有望持续扩张。公司刻蚀设备面向 12 吋逻辑、存储、功率、先进封装等客户,已完成数百道工艺的量产验证。细分产品来看,公司 ICP 刻蚀出货累计超过 2000 腔;金属刻蚀设备凭借稳定的量产性能成为国内主流客户的优选机台;迭代升级的高深宽比 TSV 刻蚀设备,以其优异的性能通过客户端工艺验证,支撑 Chiplet 工艺应用;应用于提升芯片良率的 12 吋CCP 晶边刻蚀机已进入多家生产线验证;精准针对客户需求,发布了双频耦合 CCP 介质刻蚀机,实现了在硅刻蚀、金属刻蚀、介质刻蚀工艺的全覆盖。北方华创8%DNS6%LAM49%中微公司25%TEL10%SHIBAURA 2%北方华创35%LA
80、M5%中微公司22%TEL11%嘉芯迦能27%请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 20/32 图图38:公司公司 ICP 刻蚀设备刻蚀设备广泛用于硅、金属刻蚀广泛用于硅、金属刻蚀等领域等领域 数据来源:公司官网,东吴证券研究所(产品系列可能不全)3.2.薄膜沉积设备薄膜沉积设备:PVD 具备具备国产主导地位国产主导地位,CVD&ALD 快速拓展快速拓展 半导体半导体薄膜沉积设备种类繁多,薄膜沉积设备种类繁多,主要包括主要包括 CVD、PVD 和和 ALD 三大类三大类。1)CVD:气体分子在外部能量作用下发生化学反应并在
81、衬底表面沉积成膜,重复性和台阶覆盖性较好。CVD 种类繁多,根据腔室压力、外部能量等不同,可大致分为APCVD、LPCVD、SACVD、PECVD、MOCVD 等类别。2)PVD:在真空条件下利用高温蒸发或高能粒子等物理方法轰击靶材,使靶材表面原子“蒸发”并沉积在衬底表面,沉积速率高,一般适用于各类金属、非金属、化合物膜层的平面沉积,可大致划分为电子束蒸发 PVD 和磁控溅射 PVD 两大类。3)ALD:将气相前驱体脉冲交替地通入反应室内,并以单原子膜形式通过循环反应逐层沉积在基片表面,可对复杂形貌的基底表面实现全覆盖成膜,台阶覆盖率极高,适用于各类金属及金属化合物、氧化物、氮化物、硫化物等薄
82、膜沉积。图图39:薄膜沉积设备主要分为薄膜沉积设备主要分为 CVD、PVD 和和 ALD 三大类三大类 数据来源:微导纳米招股说明书,东吴证券研究所 设备型号设备型号晶圆尺寸晶圆尺寸适用材料适用材料应用场景应用场景NMC508M8英寸铝、钨等金属0.35-0.11m集成电路,200mm硅片的金属铝和钨NMC508C8英寸硅0.35-0.11m集成电路,200mm硅片的多晶硅硅栅、浅沟槽隔离和硅的金属钨化物刻蚀NMC612C12英寸硅55nm Logic,65nm NOR flash,55nm CIS,90MCU等IC制造NMC612D12英寸硅先进逻辑制程中STI、Gate以及FinFET结构
83、刻蚀;3D NAND领域AA、Gate、Spacer以及台阶、SADP等刻蚀;DRAM领域line cut、etch back、SADP以及AA、Gate等刻蚀NMC612M12英寸氮化钛金属硬掩膜集成电路领域NMC612G12英寸铝金属(IC);金属&非金属(Micro OLED)IC集成电路领域的金属铝刻蚀工艺,以及Micro OLED领域金属和非金属刻蚀ICP 请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 21/32 受益于晶圆厂逆周期扩产,我们受益于晶圆厂逆周期扩产,我们预估预估 2024 年中国大陆半导体薄膜沉积设备市场
84、规年中国大陆半导体薄膜沉积设备市场规模模将达将达 583 亿元。亿元。细分产品结构来看,PECVD 为第一大薄膜沉积设备,占比达到 33%,PVD 和 ALD 占比分别为 19%和 11%,管式 CVD 和非管式 CVD 合计占比达到 23%。在上述假设下,我们我们预计预计 2024 年中国大陆半导体年中国大陆半导体 PECVD、PVD、ALD、管式、管式 CVD 和和非管式非管式 CVD 市场规模分别为市场规模分别为 193、111、64、70 和和 64 亿元。亿元。图图40:2024 年中国大陆半导体薄膜沉积设备市场规模年中国大陆半导体薄膜沉积设备市场规模将达将达 583 亿元亿元 数据
85、来源:SEMI,东吴证券研究所测算(注:美元:人民币汇率取 1:7)作为作为 PVD 设备龙头企业,设备龙头企业,公司公司积极拓展积极拓展 CVD 和和 ALD 设备,打开成长空间设备,打开成长空间。(1)PVD 设备:设备:公司成功研发出 TiN-PVD、Al-Pad、G620、GX20 等系列,其中先进封装用 PVD 在全球排名前三的 CIS 封装企业中稳居鳌头。在前道领域,公司 12 吋先进集成电路制程金属化薄膜沉积(Metal PVD)设备实现了量产突破,并且荣获 2022 年北京市科技进步奖一等奖。据我们不完全统计,在在 2022 年华虹无锡和积塔半导体年华虹无锡和积塔半导体 PVD
86、设备公开招标中,北方华创中标量占比分别达到设备公开招标中,北方华创中标量占比分别达到 20%和和 36%,市场竞争力可见一斑。,市场竞争力可见一斑。图图41:2022 年年华虹无锡华虹无锡 PVD 中标情况中标情况 图图42:2022 年年积塔积塔半导体半导体 PVD 中标情况中标情况 数据来源:中国国际招标网,东吴证券研究所 数据来源:中国国际招标网,东吴证券研究所 (2)CVD&ALD 设备设备:1)CVD:公司已成功覆盖 LPCVD、APCVD 等设备,其中 LPCVD 已形成批量供应,并持续拓展产品系列;2)ALD:2018 年实现首台国产 ALD的销售,可实现 28-14nmFinF
87、ET 和 3D NAND 原子层沉积工艺要求,先发优势明显。2000202020202120212022E2022E2023E2023E2024E2024E57657689689694294232073320032652265227%56%5%39%58%-5%1%32%7207207288288456456435435441441583583PECVD(33%)426568953PVD(19%)24373955878384111ALD(11%)142223325
88、0484864管式CVD(12%)25370非管式CVD(11%)84864电镀ECD(4%)5881218171823MOCVD(4%)5881218171823其他薄膜沉积设备(6%)8635中国大陆半导体设备销售额(亿元)中国大陆半导体设备销售额(亿元)薄膜沉积设备市场规模(亿元)=*22%薄膜沉积设备市场规模(亿元)=*22%同比(%)其中北方华创20%AMAT73%SPTS7%北方华创36%SPTS57%AMAT7%请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度
89、研究 22/32 表表 2:公司在公司在 CVD 和和 ALD 设备设备领域已形成较为完善的产品系列领域已形成较为完善的产品系列 产品类型产品类型 产品产品 特点特点/技术技术 应用领域应用领域 CVD HORIS L6371 多功能 LPCVD 良好的薄膜工艺均匀性、重复性,薄膜应力在较大范围内可控 集成电路 IC、微机电系统 MEMS、功率器件 POWER SES680A 硅 APCVD 适用于厚度 5-130m 范围的外延工艺,N型、P 型掺杂精确可调 集成电路、功率半导体 THEORIS 302FLOURIS 201 立式 LPCVD 高精度温压控制、先进的颗粒控制技术、工厂自动化接口
90、、高速数据采集算法 28nm 及以上的集成电路、先进封装、功率器件 ALD Polaris PE 系列 PE-ALD 通过气路、腔体结构设计、配合相应的工艺配方,成功实现了不同薄膜的沉积厚度可控性,解决了传统腔室的颗粒问题,使之具有良好的洁净度,改善产品的电性能和良率;集成电路、半导体照明、功率半导体、微机电系统、先进封装 Polaris A 系列 ALD Promi+系列手动 ALD 数据来源:公司官网,东吴证券研究所(注:产品系列可能不全)3.3.热处理设备:热处理设备:本土市场规模约本土市场规模约 50 亿元,公司已占据较高市场份额亿元,公司已占据较高市场份额 热处理工艺主要包含氧化、扩
91、散和退火三个步骤。热处理工艺主要包含氧化、扩散和退火三个步骤。1)氧化:)氧化:将硅片在氧化环境中加热到 9001100 度的高温,在硅片表面上生长一层均匀的二氧化硅薄膜;2)扩散:)扩散:在高温条件下利用热扩散原理在硅衬底中掺入杂质元素,改变电学特性;3)退火:)退火:通过加热硅片实现晶圆掺杂、金属薄膜沉积后金属硅化物烧结、晶圆表面改性等功能。炉管设备是氧化炉管设备是氧化/扩散扩散/退火的基本设备,退火的基本设备,在热处理设备中占比高达在热处理设备中占比高达 83%。据 Gartner数据,在 2020 年全球热处理设备市场中,栅极堆叠和炉管设备市场占比分别为 17%和83%。在炉管设备中,
92、卧式炉早期广泛应用,立式炉具备自动化程度高、颗粒玷污少等特点,逐渐取代卧式炉;快速热处理炉(RTP)相比立式炉具备热预算少、加工时间短、温度均匀等优势,应用范围广泛,2020 年在热处理设备中占比达到 47%。请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 23/32 图图43:热处理设备以炉管设备为主热处理设备以炉管设备为主 数据来源:Gartner,东吴证券研究所 据 Gartner 数据,2025 年全球热处理设备市场规模约 20 亿美元,若假设 2025 年中国大陆半导体设备销售额全球占比为 37%,则我们我们预计预计 20
93、25 年中国大陆热处理设备年中国大陆热处理设备市市场规模约为场规模约为 52 亿元,其中亿元,其中 RTP、氧化、氧化/扩散炉和栅极堆叠设备分别为扩散炉和栅极堆叠设备分别为 24、18 和和 9 亿元。亿元。图图44:2025 年中国大陆年中国大陆半导体半导体热处理设备市场规模热处理设备市场规模约约 52 亿元亿元 数据来源:Gartner,SEMI,东吴证券研究所测算(注:美元:人民币汇率取 1:7)全球范围内来看,全球范围内来看,AMAT、TEL 在热处理设备领域在热处理设备领域竞争力较强,竞争力较强,2021 年全球年全球市场市场份额份额分别分别达到达到 46%、21%,尤其在快速退火
94、RTP 领域,AMAT 具备全球主导地位,2020年全球份额高达 70%。在国产供应商中,屹唐半导体、北方华创等已形成批量出货,其中公司产品已成功覆盖氧化/扩散炉、RTP 等。据我们不完全统计,据我们不完全统计,2022 年公司在华虹年公司在华虹无锡、积塔半导体无锡、积塔半导体热处理设备招标中份额分别为热处理设备招标中份额分别为 7%、44%,已具备较强市场竞争力。,已具备较强市场竞争力。20020202120212022E2022E2023E2023E2024E2024E2025E2025E9898349
95、快速热处理设备(RTP)(亿元)45506266626266氧化/扩散炉(亿元)36394851484750栅极堆叠设备(亿元)32422.5%26.3%28.9%26.3%32.0%35.0%37.0%2222282838383737434346465252快速热处理设备(RTP)(亿元)224氧化/扩散炉(亿元)88栅极堆叠设备(亿元)4576789其中其中全球热处理设备市场规模(亿元)全球热处理设备市场规模(亿元)中国大陆半导体设备销售额全球占比(%)中国大陆热处理设备市场规模(亿元)中国大陆热处理设备市场规模(亿元)请务
96、必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 24/32 图图45:2021 年全球热处理设备年全球热处理设备市场基本由市场基本由 AMAT、TEL、日立主导、日立主导 数据来源:华经产业研究院,东吴证券研究所 图图46:2022 年华虹无锡年华虹无锡热处理设备中标热处理设备中标情况情况 图图47:2022 年年积塔半导体积塔半导体热处理设备中标热处理设备中标情况情况 数据来源:中国国际招标网,东吴证券研究所 数据来源:中国国际招标网,东吴证券研究所 图图48:公司热处理设备产品公司热处理设备产品系列系列较为较为丰富丰富 数据来源:公
97、司官网,东吴证券研究所(注:产品系列可能不全)AMAT46%TEL21%日立国际电气15%屹唐5%其他13%北方华创7%AMAT7%ASM3%TEL79%屹唐半导体1%上海微电子3%北方华创44%AMAT4%ASM2%TEL27%屹唐半导体7%其他16%请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 25/32 3.4.清洗设备:清洗设备:国产化率已经较高,公司产品体系不断完善国产化率已经较高,公司产品体系不断完善 半导体清洗设备种类繁多,湿法半导体清洗设备种类繁多,湿法&单片清洗为目前行业主流。单片清洗为目前行业主流。1)若按照清
98、洗介质的不同,半导体清洗设备可划分为湿法和干法两条技术路线,湿法清洗约占到芯片制造清洗步骤的 90%以上;2)在湿法清洗工艺路线下,又细分为单片清洗设备、槽式清洗设备、组合式清洗设备等,其中单片清洗设备具备极高的工艺控制和微粒去除能力,可有效解决晶圆间交叉污染,应用广泛,是晶圆制造环节采用的主要清洗方式。图图49:清洗约占集成电路工序步骤的清洗约占集成电路工序步骤的 33%图图50:2019 年全球单片清洗设备占比高达年全球单片清洗设备占比高达 75%数据来源:ACMR 演示材料,东吴证券研究所 数据来源:华经产业研究院,东吴证券研究所 基于上文假设,基于上文假设,我们预计我们预计 2022-
99、2024 年中国大陆半导体清洗设备市场规模分别为年中国大陆半导体清洗设备市场规模分别为 99、100 和和 133 亿元,其中单片清洗设备市场规模分别为亿元,其中单片清洗设备市场规模分别为 67、68 和和 89 亿元。亿元。图图51:2024 年中国大陆半导体清洗设备市场规模约年中国大陆半导体清洗设备市场规模约 133 亿元亿元 数据来源:SEMI,东吴证券研究所测算 清洗设备技术壁垒相对较低,清洗设备技术壁垒相对较低,有望有望率先实现大规模国产化。率先实现大规模国产化。在本土供应商中,盛美上海、至纯科技、芯源微在清洗设备领域产业化较为领先。2022 年盛美上海和至纯科技清洗设备分别实现收入
100、 20.8 和 7.9 亿元,两家合计市场份额已经达到 29%。清洗33%其他工序67%单片清洗设备74.6%槽式清洗设备18.1%洗刷器6.8%批发螺旋喷淋清洗0.4%20002120212022E2022E2023E2023E2024E2024E89689694294232073320032652265256%5%39%58%-5%1%32%454547476666007042425959939389899090119119单片清洗设备(
101、75%)30324470676889槽式清洗设备(18%)781117161621其他清洗设备(7%)3347668其中其中中国大陆半导体设备销售额(亿元)中国大陆半导体设备销售额(亿元)同比(%)中国大陆半导体清洗设备市场规模(亿元)=*5%中国大陆半导体清洗设备市场规模(亿元)=*5%干法清洗设备(10%)湿法清洗设备(90%)湿法清洗设备(90%)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 26/32 图图52:2022 年半导体清洗设备国产化率超过年半导体清洗设备国产化率超过 30%数据来源:SEMI,Wind,东吴证券
102、研究所测算 公司收购公司收购 Akrion 完善清洗完善清洗设备产品线设备产品线,产品体系不断完善产品体系不断完善。2018 年公司收购美国 Akrion 完善清洗机产品线,目前已成功覆盖单片与槽式清洗设备,其中单片清洗机覆盖Al/Cu 制程全部工艺,是国内主流厂商后道制程的优选机台;槽式清洗机已覆盖 RCA、Gate、PR strip、磷酸、Recycle 等工艺制程,并在多家客户端实现量产,屡获重复订单。表表 3:公司清洗公司清洗设备设备已成功已成功覆盖单片清洗和槽式清洗覆盖单片清洗和槽式清洗设备设备 产品名称产品名称 特点特点/技术技术 应用领域应用领域 Saqua 系列 SC3000A
103、 12 英寸单片清洗机 应用单片晶圆旋转湿法清洗技术,具有清洗选择性好、清洗效率高等技术,包括化学药液保护系统、管路防静电系统、兆声波系统等 0.5m-28nm 集成电路、先进封装、微机电系统 Saqua 系列 SC3000A 12 英寸堆叠式单片清洗机 采用堆叠式的技术,包括堆叠式的三层工艺腔室、多层晶圆传输系统、各工艺腔室独立的工艺体系等 90-28nm 集成电路 Bpure 系列 石英舟/管清洗机 采用浸泡式处理工艺,主要用于 12 英寸及以下尺寸的扩散、外延等设备的石英管/舟、碳化硅管的清洗 集成电路、先进封装、光伏 Bpure 系列 WE3000A、WE2000A全自动槽式清洗机 够
104、实现全自动倒片装置,使自动化程度更高且兼容 8 寸、12 寸硅片清洗。还具有兆声波系统、管路防静电等配置 集成电路、先进封装 数据来源:公司官网,东吴证券研究所(注:产品系列可能不全)3.5.半导体设备零部件:半导体设备零部件:聚焦电气聚焦电气&仪器仪表类仪器仪表类等等零部件零部件,完善产业布局,完善产业布局 半导体设备零部件为千亿元级大市场,国产替代诉求迫切,兼具强和强属性。半导体设备零部件为千亿元级大市场,国产替代诉求迫切,兼具强和强属性。1)我们预估 2022 年全球&中国大陆半导体设备零部件市场规模分别约为 426、112 亿美元,整体市场规模庞大。受益于国产半导体设备快速放量,中国大
105、陆半导体设备企业对于半导体设备零部件的需求快速提升。2)另一方面,半导体设备高端核心零部件基本依赖进口,在全球供应链紧张背景下,零部件供给已成为半导体设备企业产能扩张重要瓶2000222中国大陆清洗设备市场规模(亿元)45476610499盛美上海清洗设备收入(亿元)5.06.38.210.620.8盛美上海市场份额(%)盛美上海市场份额(%)11.2%11.2%13.4%13.4%12.5%12.5%10.2%10.2%21.0%21.0%至纯科技清洗设备收入(亿元)-0.82.27.07.9至纯科技市场份额(%)至纯科技市场
106、份额(%)-1.7%1.7%3.4%3.4%6.8%6.8%8.0%8.0%芯源微单片式湿法设备收入(亿元)0.71.00.82.95.5芯源微市场份额(%)芯源微市场份额(%)1.6%1.6%2.0%2.0%1.2%1.2%2.8%2.8%5.6%5.6%清洗设备国产化率(%)(仅考虑上述三家)清洗设备国产化率(%)(仅考虑上述三家)13%13%17%17%17%17%20%20%35%35%请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 27/32 颈,叠加外部事件影响,半导体设备零部件国产化诉求愈发凸显。图图53:2022 年
107、全球年全球&中国大陆半导体设备零部件市场规模分别为中国大陆半导体设备零部件市场规模分别为 426 和和 112 亿美元亿美元 数据来源:SEMI,东吴证券研究所测算 半导体设备零部件种类繁多,半导体设备零部件种类繁多,公司主要公司主要覆盖电气覆盖电气类类、仪器仪表、仪器仪表等类别等类别,完善产业布,完善产业布局局。1)电气类:)电气类:2020 年北方华创微电子收购北广科技射频(RF)技术相关资产,射频电源是进行薄膜沉积和刻蚀过程工艺控制的关键零部件,其性能和参数直接影响沉积/刻蚀速率以及成膜质量等关键指标。2)仪器仪表类:)仪器仪表类:主要包括质量流量控制器(MFC)、质量流量计(MFM)以
108、及阀门管件等,广泛应用于半导体、真空、新能源光伏等行业。图图54:在半导体设备领域,公司是电气类和仪器仪表类零部件的国内主要企业在半导体设备领域,公司是电气类和仪器仪表类零部件的国内主要企业 数据来源:富创精密招股说明书,东吴证券研究所 2000202020202222023E2023E2024E2024E5665666456455985987268941082108245%45%45%45%45%45%45%45%90%90%90%90%90%90%90%90%22422425625
109、623723728228240640642642635435442942915%20%23%26%29%26%32%35%3333520中国大陆半导体设备零部件市场规模中国大陆半导体设备零部件市场规模(亿美元亿美元)全球半导体设备市场规模全球半导体设备市场规模(亿美元亿美元)半导体设备毛利率(%)直接材料成本占比(%)全球半导体设备零部件市场规模全球半导体设备零部件市场规模(亿美元亿美元)中国大陆半导体设备销售额全球占比(%)分类分类零部件具体类别零部件具体类别占设备成本占设备成本的比例的比例应用设备类别应用设备类别国际主要企业
110、国际主要企业国内主要企业国内主要企业国产化情况国产化情况机械类机械类金属工艺件:金属工艺件:反应腔、传输腔、过渡腔、内衬、匀气盘等;金属结构件:金属结构件:托盘、冷却板、底座、铸钢平台等;非金属非金属机械件:机械件:石英、陶瓷件、硅部件、静电卡盘、橡胶密封件等20%-40%应用于所有设备金属类:京鼎精密、Ferrotec等非金属类:Ferrotec、Hana、台湾新鹤、美国杜邦等金属类:金属类:富创精密、靖江先锋、托伦斯、江丰电子(少量产品)等非金属类:非金属类:菲利华(石英零部件)、神工股份(硅件)等品类繁多,整体国产化率相对较高,但高端产品国产化率较低电气类电气类射频电源、射频匹配器、远程
111、等离子源、供电系统、工控电脑等10%-20%应用于所有设备AdvancedEnergy、MKS等北方华创(收购的北广科北方华创(收购的北广科技资产)技资产)、英杰电气等对于核心模块(射频电源等),国内企业尚未进入国际半导体设备厂商,少量应用于国内半导体设备厂商,主要应用于光伏、LED 等泛半导体设备,国产化率低,高端产品尚未国产化机电一体类机电一体类EFEM、机械手、加热带、腔体模组、阀体模组、双工机台、浸液系统、温控系统等10%-25%应用于所有设备京鼎精密、BrooksAutomation、Rorze、ASML(自产双工机台和浸液系统)等富创精密、华卓精科(双工机台)、新松机器人(机械手)
112、、京仪自动化(温控系统)等品类较为繁多,整体国产化率不高,功能复杂的高端产品未国产化气体气体/液体液体/真空真空系统类系统类气体输送系统类:气体输送系统类:气柜、气体管路、管路焊接件等;真空系统类:真空系统类:干泵、分子泵、真空阀门等;气动液压系统类:气动液压系统类:阀门、接头、过滤器、液体管路等10%-30%薄膜沉积、刻蚀、离子注入等干法设备;以及CMP、清洗等湿法设备超科林、Edwards、Ebara、MKS等富创精密、正帆科技、万业企业(CompartSystem)、新莱应材、沈阳科仪、北京中科仪等品类较为繁多,少数企业产品已进入国际半导体设备厂商,整体国产化率处于中等水平,大部分品类的
113、高端产品未国产化仪器仪表类仪器仪表类气体流量计、真空压力计等1%-3%应用于所有设备MKS、Horiba等北方华创(旗下的七星流北方华创(旗下的七星流量计)量计)、万业企业(收购的CompartSystem)等国内企业自研产品仅少量用于国内半导体设备厂商,由于产品成本占比较低,国内企业主要以采购进口产品为主,高端产品尚未国产化光学类光学类光学元件、光栅、激光源、物镜等55%主要应用于光刻设备、量测设备等Zeiss、Cymer、ASML北京国望光学科技有限公司、长春国科精密光学技术有限公司等国内企业已少量应用于国内光刻设备,国产化率较低,高端产品尚未国产化 请务必阅读正文之后的免责声明部分请务必
114、阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 28/32 4.电子元器件电子元器件:下游需求旺盛,:下游需求旺盛,业务规模业务规模快速快速扩张扩张 公司精密元器件业务广泛应用于自动控制、电力电子、精密仪器仪表、铁路交通等公司精密元器件业务广泛应用于自动控制、电力电子、精密仪器仪表、铁路交通等领域领域,收入规模,收入规模快速放量快速放量,盈利水平快速提升,盈利水平快速提升。1)收入端:)收入端:2017-2022 年七星华创精密电子营业收入 CAGR 达到 36%,2022 年实现营收 25.74 亿元,同比+50%,加速扩张。2)利润端:利润端:2022 年七星华创精
115、密电子净利润达到 10.42 亿元,2017-2022 年 CAGR 达到 46%,高于收入端增速。反映到盈利水平上,2017-2022 年七星华创精密电子销售净利率分别为 27.94%、19.53%、28.55%、33.16%、34.87%和 40.47%,盈利能力快速提升。图图55:七星华创七星华创精密电子营收快速增长精密电子营收快速增长 图图56:七星华创七星华创精密电子净利润快速增长精密电子净利润快速增长 数据来源:Wind,东吴证券研究所 数据来源:Wind,东吴证券研究所 图图57:2022 年七星华创年七星华创精密精密电子销售净利率达到电子销售净利率达到 40.47%,同比,同比
116、+5.60pct 数据来源:Wind,东吴证券研究所 5.盈利预测与投资评级盈利预测与投资评级 核心假设:核心假设:1)电子装备:)电子装备:受益于半导体设备国产替代加速,叠加真空设备、泛半导体设备等放量,我们预计公司电子装备业务将实现高速增长,假设 2023-2025 年收入同比增速分别为 45.00%、30.00%和 30.00%。随着半导体设备成本端规模效应显现,我们预计毛利率0%10%20%30%40%50%60%05002020212022七星华创精密电子营业收入(亿元)同比(%)-10%0%10%20%30%40%50%60%70%80%
117、02468820022七星华创精密电子净利润(亿元)同比(%)27.94%19.53%28.55%33.16%34.87%40.47%0%10%20%30%40%50%60%70%80%2002020212022销售毛利率(%)销售净利率(%)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 29/32 将明显提升,假设 2023-2025 年毛利率分别为 39.00%、39.50%和 40.00%。2)电子元器件:)电子元器件:受益于下游高景气度,叠加公司较强的市场竞
118、争力,公司电子元器件业务有望持续扩张,假设2023-2025年收入同比增速分别为40.00%、30.00%和20.00%,毛利率分别为 68.00%、65.00%和 65.00%。3)其他业务:)其他业务:假设2023-2025年收入同比增速稳定在30.00%,毛利率稳定在50.00%。盈利预测:盈利预测:基于以上假设,我们维持公司 2023-2025 年营业收入分别为 211.65、275.14 和 353.00亿元,分别同比+44%、+30%和+28%;维持 2023-2025 年归母净利润分别为 35.43、47.59和 61.71 亿元,分别同比+51%、+34%和+30%。表表1:公
119、司分业务收入预测(百万元)公司分业务收入预测(百万元)2021A 2022A 2023E 2024E 2025E 电子装备电子装备 7,949 12,084 17,523 22,779 29,613 yoy(%)63.24%52.03%45.00%30.00%30.00%毛利率(毛利率(%)33.00%37.70%39.00%39.50%40.00%电子元器件电子元器件 1,715 2,574 3,604 4,685 5,622 yoy(%)47.22%50.10%40.00%30.00%20.00%毛利率(毛利率(%)68.90%72.53%68.00%65.00%65.00%其他业务其他业
120、务 20 29 38 50 64 yoy(%)-9.29%47.57%30.00%30.00%30.00%毛利率(毛利率(%)61.32%52.98%50.00%50.00%50.00%总营业收入总营业收入 9683 14688 21165 27514 35300 yoy(%)59.90%51.68%44.09%30.00%28.30%毛利率(毛利率(%)39.41%43.83%43.96%43.86%44.00%数据来源:Wind,东吴证券研究所 投资评级:投资评级:盛美上海、中微公司、拓荆科技、华海清科、芯源微、至纯科技、万业企业、精测电子均为国产半导体设备龙头企业,与公司主业协同性较强,
121、故选取为可比公司。我们维持 2023-2025 年公司营业收入预测分别为 211.65、275.14 和 353.00 亿元,当前市值对应动态PS分别为7、6和4倍;维持2023-2025年归母净利润预测分别为35.43、47.59 和 61.71 亿元,当前市值对应动态 PE 分别为 45、33 和 26 倍。考虑到公司在国产半导体设备领域的平台型龙头地位,同时估值处于底部,维持“买入”评级。请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 30/32 图图58:可比公司可比公司估值(截至估值(截至 2023/05/25 收盘股价)
122、收盘股价)数据来源:Wind,东吴证券研究所(注:盈利预测均来自东吴证券研究所)6.风险提示风险提示 1、半导体行业投资不及预期:、半导体行业投资不及预期:若半导体行业景气度下滑,下游客户资本支出减少,则对半导体设备的需求将可能下降,将给半导体设备行业的短期业绩带来一定压力。2、设备国产化不及预期:、设备国产化不及预期:集成电路专用设备技术门槛较高,某些环节的技术难点或者国内设备厂商产能瓶颈可能导致设备国产化进展不及预期。3、海外制裁风险:、海外制裁风险:若美国、荷兰、日本等国加大对于中国大陆半导体领域制裁,进而影响核心设备供应、零部件采购等,将对国产半导体设备企业发展造成一定不利影响。股价股
123、价市值市值(元)(元)(亿元)(亿元)2023E2024E2025E2023E 2024E 2025E2023E2024E2025E2023E 2024E2025E688082.SH盛美上海盛美上海113.5649240.051.764.4121089.312.115.5534132688012.SH中微公司中微公司159.6598764.783.6107.11512915.219.324.6655140688072.SH拓荆科技拓荆科技392.9049731.744.858.7161186.18.912.0825642688120.SH华海清科华海清科385.0041127.336.347.
124、0151198.210.914.5503828688037.SH芯源微芯源微266.9024820.228.537.912972.84.26.2895840603690.SH至纯科技至纯科技40.9213244.056.769.83225.77.910.41.SH万业企业万业企业18.7817517.523.428.510765.05.66.4353127300567.SZ精测电子精测电子94.4726334.043.657.28653.45.38.9 97 7595943433232002371.SZ北方华创北方华创299.161,584211.7
125、275.1353.076435.447.661.7453326PE平均平均营业收入(亿元)营业收入(亿元)PS归母净利润(亿元)归母净利润(亿元)请务必阅读正文之后的免责声明部分请务必阅读正文之后的免责声明部分 东吴证券研究所东吴证券研究所 公司深度研究 31/32 北方华创北方华创三大财务预测表三大财务预测表 Table_Finance 资产负债表(百万元)资产负债表(百万元)2022A 2023E 2024E 2025E 利润表(百万元)利润表(百万元)2022A 2023E 2024E 2025E 流动资产流动资产 31,117 40,548 50,957 63,711 营业总收入营业总
126、收入 14,688 21,165 27,514 35,300 货币资金及交易性金融资产 10,435 13,581 16,277 19,897 营业成本(含金融类)8,250 11,861 15,446 19,768 经营性应收款项 6,293 8,865 11,160 13,839 税金及附加 135 212 275 353 存货 13,041 16,188 21,089 26,979 销售费用 802 1,058 1,321 1,624 合同资产 391 635 825 1,059 管理费用 1,421 2,011 2,545 3,177 其他流动资产 959 1,279 1,606 1,
127、938 研发费用 1,845 2,646 3,302 4,236 非流动资产非流动资产 11,434 11,903 12,255 12,496 财务费用-83 101 65 33 长期股权投资 2 2 2 2 加:其他收益 667 847 963 1,059 固定资产及使用权资产 2,631 3,456 3,858 3,996 投资净收益 0 2 3 4 在建工程 1,223 612 306 153 公允价值变动-3 0 0 0 无形资产 2,003 2,253 2,503 2,753 减值损失-103-92-102-132 商誉 18 19 20 21 资产处置收益-12 2 3 4 长期待
128、摊费用 41 46 51 56 营业利润营业利润 2,867 4,035 5,427 7,043 其他非流动资产 5,516 5,516 5,516 5,516 营业外净收支 -13 18 18 18 资产总计资产总计 42,551 52,452 63,213 76,207 利润总额利润总额 2,854 4,053 5,445 7,061 流动负债流动负债 15,770 21,820 27,408 33,695 减:所得税 313 203 272 353 短期借款及一年内到期的非流动负债 268 368 448 508 净利润净利润 2,541 3,851 5,173 6,708 经营性应付款
129、项 5,945 7,572 9,860 12,619 减:少数股东损益 188 308 414 537 合同负债 7,198 10,675 13,129 15,814 归属母公司净利润归属母公司净利润 2,353 3,543 4,759 6,171 其他流动负债 2,359 3,205 3,970 4,753 非流动负债 6,797 6,797 6,797 6,797 每股收益-最新股本摊薄(元)4.44 6.69 8.99 11.65 长期借款 3,740 3,740 3,740 3,740 应付债券 0 0 0 0 EBIT 2,803 3,377 4,625 6,142 租赁负债 81
130、81 81 81 EBITDA 3,355 3,914 5,179 6,707 其他非流动负债 2,976 2,976 2,976 2,976 负债合计负债合计 22,567 28,617 34,205 40,492 毛利率(%)43.83 43.96 43.86 44.00 归属母公司股东权益 19,746 23,289 28,048 34,219 归母净利率(%)16.02 16.74 17.30 17.48 少数股东权益 238 546 960 1,496 所有者权益合计所有者权益合计 19,984 23,834 29,007 35,715 收入增长率(%)51.68 44.09 30.
131、00 28.30 负债和股东权益负债和股东权益 42,551 52,452 63,213 76,207 归母净利润增长率(%)118.37 50.57 34.34 29.67 现金流量表(百万元)现金流量表(百万元)2022A 2023E 2024E 2025E 重要财务与估值指标重要财务与估值指标 2022A 2023E 2024E 2025E 经营活动现金流-728 4,262 3,733 4,577 每股净资产(元)37.35 44.05 53.05 64.73 投资活动现金流-1,423-983-881-780 最新发行在外股份(百万股)530 530 530 530 筹资活动现金流
132、3,345-133-155-178 ROIC(%)12.08 12.32 14.34 15.92 现金净增加额 1,231 3,146 2,696 3,620 ROE-摊薄(%)11.91 15.21 16.97 18.03 折旧和摊销 552 537 554 565 资产负债率(%)53.04 54.56 54.11 53.13 资本开支-1,408-980-879-778 P/E(现价&最新股本摊薄)67.34 44.72 33.29 25.67 营运资本变动-4,536-954-2,747-3,491 P/B(现价)8.01 6.79 5.64 4.62 数据来源:Wind,东吴证券研究
133、所,全文如无特殊注明,相关数据的货币单位均为人民币,预测均为东吴证券研究所预测。免责及评级说明部分 免责声明免责声明 东吴证券股份有限公司经中国证券监督管理委员会批准,已具备证券投资咨询业务资格。本研究报告仅供东吴证券股份有限公司(以下简称“本公司”)的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况下,本报告中的信息或所表述的意见并不构成对任何人的投资建议,本公司不对任何人因使用本报告中的内容所导致的损失负任何责任。在法律许可的情况下,东吴证券及其所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还可能为这些公司提供投资银行服务或其他服务。市场有风险,投资需谨慎。本
134、报告是基于本公司分析师认为可靠且已公开的信息,本公司力求但不保证这些信息的准确性和完整性,也不保证文中观点或陈述不会发生任何变更,在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本报告的版权归本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制和发布。如引用、刊发、转载,需征得东吴证券研究所同意,并注明出处为东吴证券研究所,且不得对本报告进行有悖原意的引用、删节和修改。东吴证券投资评级标准:公司投资评级:买入:预期未来 6 个月个股涨跌幅相对大盘在 15%以上;增持:预期未来 6 个月个股涨跌幅相对大盘介于 5%与 15%之间;中性:预期未来 6 个月个股涨跌幅相对大盘介于-5%与 5%之间;减持:预期未来 6 个月个股涨跌幅相对大盘介于-15%与-5%之间;卖出:预期未来 6 个月个股涨跌幅相对大盘在-15%以下。行业投资评级:增持:预期未来 6 个月内,行业指数相对强于大盘 5%以上;中性:预期未来 6 个月内,行业指数相对大盘-5%与 5%;减持:预期未来 6 个月内,行业指数相对弱于大盘 5%以上。东吴证券研究所 苏州工业园区星阳街?5 号 邮政编码:215021 传真:(0512)62938527